首页  资讯  商机   下载  拆解   高校  招聘   杂志  会展  EETV  百科   问答  电路图  工程师手册   Datasheet  100例   投注威廉希尔彩票吗?   E周刊阅读   样片申请
EEPW首页 >> 主题列表 >> ds18b2温度传感器

ds18b2温度传感器 文章 进入ds18b2温度传感器技术社区

基于FPGA的DS18B20数字温度传感器测温实例

  • 1、DS18B20数字温度传感器本文将使用三段式状态机(Moore型)的写法来对DS18B20进行测温操作,以便了解DS18B20和熟悉三段式状态机的写法。1.1、概述温度传感器(temperature transducer)是指能感受温度并转换成可用输出信号的传感器, 是各种传感器中最常用的一种。随着现代仪器的发展,微型化、集成化、数字化正成为传感器发展的一个重要方向。美国DALLAS半导体公司推出的数字化温度传 感器DS18B20采用单总线协议,即与FPGA接口仅需占用一个I/O端口,无须任何外部元件
  • 关键字: FPGA  DS18B2温度传感器  
共1条 1/1 1

ds18b2温度传感器介绍

您好,目前还没有人创建词条ds18b2温度传感器!
欢迎您创建该词条,阐述对ds18b2温度传感器的理解,并与今后在此搜索ds18b2温度传感器的朋友们分享。    创建词条

热门主题

树莓派    linux   
关于我们 - 广告服务 - 企业会员服务 - 网站地图 - 联系我们 - 官方威廉希尔备用网址 - 友情链接 - 手机EEPW
Copyright ©2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
威廉希尔 官网app 杂志社 版权所有 北京东晓国际技术信息咨询有限公司
备案 京ICP备12027778号-2 北京市公安局备案:1101082052    京公网安备11010802012473