论坛 » Xilinx
版主: 暂无版主      软件智能  硬件优化  ZingBoard开发手记  ZedBoard开发手记  ZYNQ开发板申请  Zynq 
 发表新贴 
 发起投票 
 精华 
 全部 
帖子主题RSS订阅 回复 人气
普通 【Zynq】 VIVADO导出硬件时没有export hardware f [Yker] 4 10230
普通 【Zynq】 请教各位大大Could not find a valid d [yousuozi] 1 8403
普通 【Zynq】 XC6SLX16内部是否可被加密 [nahlj] 0 3342
普通 请问各位zynq PL端如何读写ddr啊?? [simonmao8385] 2 9007
普通 为配合xilinx的活动,现贡献全志A20原理图一份 [machinnneee] 1 3659
普通 vivado2014.4编译出现问题 [站的高尿的远] 1 3677
普通 关于ISE中DDS的配置问题 求教 [LAZY17362012] 2 3215
普通 ip core 中dds 怎么用? [只为吸引你] 25 25486
普通 (电子书)Verilog HDL数字设计与综合(第二版)夏宇 [dreamjsc] 13 11335
普通 【Zynq】 晒奖品《***zynq7000soc设计指南》何宾老师的书 [地瓜patch] 8 3978
普通 【硬件优化】 SPARTAN -3 系列XC3S400的下载和配置问题 [trunftec] 0 2283
普通 ZYNQ FCLK0时钟问题 [zwj1234] 4 7000
普通 Zedboard开发板原理图pdf版 [basketj] 11 5532
普通 Xilinx引领珠穆朗玛峰之旅 [big白菜] 0 1971
普通 求综合错误原因 [becoll] 11 11202
普通 TSL235R-LFTSL237S-LF应用技术用参数资料 [szdxtkj] 0 1783
普通 ChipScope Pro 10.1 UserGuide [dreamjsc] 3 3306
普通 【ZedBoard开发手记】 基于ZYNQ-7000的Opencv包 [CMika] 3 3443
普通 如何成为高级会员啊??? [zhaixw2008] 1 1141
普通 【Zynq】 请问有做过SDI OVER IP设计的吗 [csloner] 1 1430
普通 【软件智能】 关于fpga设计中的异步双时钟切换 [蘑菇有独] 0 1800
普通 【Nexys3】难得的数字电路实验原始工程文件_Lab15_ [583199723] 20 7256
普通 【Zynq】 ubuntu16.04 安装 vivado 后 无法再viv [whitesnark] 2 7077
普通 【软件智能】 请教如何计算器件的D触发器数量 [bucker] 0 1469
普通 Synthesis going Out-of-Date fo [EEPW干货喵] 1 2307
普通 How to Constrain Clock Interac [EEPW干货喵] 1 1795
普通 usb_verilog 有很详细的英文说明,外添一份大连理工 [dongzhiL332] 9 5015
普通 【Zynq】 zynq的FCLK_clk0无输出,请问是什么原因? [maxwell1015] 0 3707
普通 SPARTAN 3AN系列 excel footprint [xiaolin4006] 0 1220
共1508条 4/52 |‹ « 2 3 4 5 6 7 8 9 10 11 » ›|

帖子总数:9846 主题数:1508 在线用户:1