<![CDATA[ 电子产品世界 ]]> - 威廉希尔 官网app //www.cazqn.com ch-cn editor@eepw.com.cn webmaster@eepw.com.cn 5 PHP RSS Feed Generator <![CDATA[ 如何评估驱动芯片的模拟采样精度 ]]> TI 针对新能源电驱应用场景的明星产品有不带 SPI接口的智能驱动UCC21750-Q1系列和带SPI接口的 ASILD功能安全驱动UCC5880-Q1系列。UCC21750-Q1具有DESAT保护、内置米勒钳位、隔离采样通道、针对短路过流故障的/FLT pin及针对供电电源的RDY pin输出。UCC5880-Q1为TI的第二代功能安全栅极驱动芯片,具有可调驱动电流,丰富的诊断保护功能和优异的鲁棒性。UCC21750-Q1有一个隔离采样通道,UCC5880-Q1具有两个隔离采样通道,可以用于采样模组温度,DC link电压等应用场景。本文主要介绍隔离驱动芯片的采样的应用方法和精度分析。

1. 隔离采样通道介绍

驱动的隔离采样通道通常为模拟信号输入,通过占空比信号或SPI输出。对于non SPI的驱动芯片如UCC21750-Q1系列来说,从AIN pin输入0-4.5V的模拟信号,通过APWM 输出频率为400kHz的PMW 信号,其占空比反应输入的模拟值大小,可以直接接到MCU的I/O口进行读数,也可以通过外接RC转换成模拟值读取,如下图1所示。 对于UCC5880-Q1来说,在内置ADC采样后,除了通过DOUT pin占空比输出外,用户还可选择通过SPI对采样结果进行读数,如下图2所示。

pastedimage1701761210874v1.png-640x480.png

图1 UCC21750-Q1内置隔离采样通道示意图

pastedimage1701761225073v2.png-640x480.png

图2 UCC5880-Q1内置隔离采样通道示意图

2. 采样偏置方式介绍

模拟采样通道的偏置方式通常有电压型和电流型两种。使用电流型偏置的应用场景主要为外置热敏二极管类的场合,参考图3所示。芯片内部产生恒定的电流源流过功率模组的热敏二极管产生压降,根据热敏二极管的V-T特性曲线(如图4)反推当前的温度。

pastedimage1701761291644v3.png-640x480.png

图3 电流型偏置示意图

pastedimage1701761308629v4.png-640x480.png

图4 热敏电阻温度特性曲线

电压型偏置的应用场景为母线电压采样或基于NTC或PTC 的温度采样。以母线电压采样为例,可以通过电阻网络分压将电压采样的范围转换到ADC输入范围。对UCC21750-Q1来说,内部的偏置电流源不能关掉,所以分析结果时需要减去电流源在

pastedimage1701762330435v5.png-640x480.png上产生的压降影响。而对UCC5880-Q1来说, 在使用电压偏置时,可以通过寄存器配置关掉内置的偏置电流源。

pastedimage1701762344150v6.png-640x480.png

图5 电压型偏置示意图

3. 隔离采样精度分析

如下图6所示,隔离采样中的误差主要有以下三个主要来源:

· 信号源误差

· AD转换误差

· MCU量化误差

pastedimage1701762371625v7.png-640x480.png

图6 隔离采样精度分析

第一部分信号源误差主要指的是对被采样信号产生的测量误差,使用电流偏置型电路的需要考虑电流源大小的误差,使用电压型偏置电路的需要考虑分压电阻网络产生的误差。如果使用了驱动芯片的VREF输出进行偏置,也同样需要考虑偏置源VREF本身产生的误差。

第二部分AD转换误差指驱动芯片收到二次侧的模拟信号输入,转换成占空比输出产生的误差。对UCC21750-Q1来说,这一误差可以通过Datasheet的对应电压范围的pastedimage1701762472255v8.png-640x480.png精度这个指标得到。对UCC5880-Q1来说,在不同的输入电压范围ADC精度的LSB不同,以pastedimage1701762732194v9.png-640x480.png电压下 ADC精度worst casepastedimage1701762896564v10.png-640x480.png为例,采样精度为pastedimage1701763012801v11.png-640x480.png,其中N为UCC5880-Q1 ADC的位数。

第三部分MCU 对APWM/DOUT采样的量化误差, 这与MCU的采样频率和APWM/DOUT的输出频率相关。UCC21750-Q1的APWM频率为固定的400kHz,而UCC5880-Q1 DOUT输出频率为两档可配。这一部分产生的量化误差为pastedimage1701763071496v12.png-640x480.png,其中pastedimage1701763380179v13.png-640x480.png为MCU采样频率,pastedimage1701763408552v14.png-640x480.png为驱动芯片PWM输出频率。 这里需要注意的是MCU 采样的是占空比还是导通时间。如果MCU采样的是导通时间,则还需要考虑PWM输出频率抖动造成的影响。

当然,如果将驱动芯片PWM输出通过RC滤波形成模拟量采样,则不需要考虑量化误差的影响,只需要考虑MCU端采样的精度。如果使用UCC5880-Q1并通过SPI对AD采样结果进行读数,也不需要考虑第三部分的影响。

]]>
TI 针对新能源电驱应用场景的明星产品有不带 SPI接口的智能驱动UCC21750-Q1系列和带SPI接口的 ASILD功能安全驱动UCC5880-Q1系列。UCC21750-Q1具有DESAT保护、内置米勒钳位、隔离采样通道、针对短路过流故障的/FLT pin及针对供电电源的RDY pin输出。UCC5880-Q1为TI的第二代功能安全栅极驱动芯片,具有可调驱动电流,丰富的诊断保护功能和优异的鲁棒性。UCC21750-Q1有一个隔离采样通道,UCC5880-Q1具有两个隔离采样通道,可以用于采样模组温度,DC link电压等应用场景。本文主要介绍隔离驱动芯片的采样的应用方法和精度分析。

1. 隔离采样通道介绍

驱动的隔离采样通道通常为模拟信号输入,通过占空比信号或SPI输出。对于non SPI的驱动芯片如UCC21750-Q1系列来说,从AIN pin输入0-4.5V的模拟信号,通过APWM 输出频率为400kHz的PMW 信号,其占空比反应输入的模拟值大小,可以直接接到MCU的I/O口进行读数,也可以通过外接RC转换成模拟值读取,如下图1所示。 对于UCC5880-Q1来说,在内置ADC采样后,除了通过DOUT pin占空比输出外,用户还可选择通过SPI对采样结果进行读数,如下图2所示。

pastedimage1701761210874v1.png-640x480.png

图1 UCC21750-Q1内置隔离采样通道示意图

pastedimage1701761225073v2.png-640x480.png

图2 UCC5880-Q1内置隔离采样通道示意图

2. 采样偏置方式介绍

模拟采样通道的偏置方式通常有电压型和电流型两种。使用电流型偏置的应用场景主要为外置热敏二极管类的场合,参考图3所示。芯片内部产生恒定的电流源流过功率模组的热敏二极管产生压降,根据热敏二极管的V-T特性曲线(如图4)反推当前的温度。

pastedimage1701761291644v3.png-640x480.png

图3 电流型偏置示意图

pastedimage1701761308629v4.png-640x480.png

图4 热敏电阻温度特性曲线

电压型偏置的应用场景为母线电压采样或基于NTC或PTC 的温度采样。以母线电压采样为例,可以通过电阻网络分压将电压采样的范围转换到ADC输入范围。对UCC21750-Q1来说,内部的偏置电流源不能关掉,所以分析结果时需要减去电流源在

pastedimage1701762330435v5.png-640x480.png上产生的压降影响。而对UCC5880-Q1来说, 在使用电压偏置时,可以通过寄存器配置关掉内置的偏置电流源。

pastedimage1701762344150v6.png-640x480.png

图5 电压型偏置示意图

3. 隔离采样精度分析

如下图6所示,隔离采样中的误差主要有以下三个主要来源:

· 信号源误差

· AD转换误差

· MCU量化误差

pastedimage1701762371625v7.png-640x480.png

图6 隔离采样精度分析

第一部分信号源误差主要指的是对被采样信号产生的测量误差,使用电流偏置型电路的需要考虑电流源大小的误差,使用电压型偏置电路的需要考虑分压电阻网络产生的误差。如果使用了驱动芯片的VREF输出进行偏置,也同样需要考虑偏置源VREF本身产生的误差。

第二部分AD转换误差指驱动芯片收到二次侧的模拟信号输入,转换成占空比输出产生的误差。对UCC21750-Q1来说,这一误差可以通过Datasheet的对应电压范围的pastedimage1701762472255v8.png-640x480.png精度这个指标得到。对UCC5880-Q1来说,在不同的输入电压范围ADC精度的LSB不同,以pastedimage1701762732194v9.png-640x480.png电压下 ADC精度worst casepastedimage1701762896564v10.png-640x480.png为例,采样精度为pastedimage1701763012801v11.png-640x480.png,其中N为UCC5880-Q1 ADC的位数。

第三部分MCU 对APWM/DOUT采样的量化误差, 这与MCU的采样频率和APWM/DOUT的输出频率相关。UCC21750-Q1的APWM频率为固定的400kHz,而UCC5880-Q1 DOUT输出频率为两档可配。这一部分产生的量化误差为pastedimage1701763071496v12.png-640x480.png,其中pastedimage1701763380179v13.png-640x480.png为MCU采样频率,pastedimage1701763408552v14.png-640x480.png为驱动芯片PWM输出频率。 这里需要注意的是MCU 采样的是占空比还是导通时间。如果MCU采样的是导通时间,则还需要考虑PWM输出频率抖动造成的影响。

当然,如果将驱动芯片PWM输出通过RC滤波形成模拟量采样,则不需要考虑量化误差的影响,只需要考虑MCU端采样的精度。如果使用UCC5880-Q1并通过SPI对AD采样结果进行读数,也不需要考虑第三部分的影响。

]]>
//www.cazqn.com/article/202312/453878.htm Wed, 13 Dec 2023 19:13:53 +0800
<![CDATA[ 六种常见工业传感器大盘点 ]]> 当你站在饮料装瓶的自动化产线旁,你会发现,无需人工介入,每一个空瓶都能够精准地停在注射喷头的下方,完成装填后转移到下一道工序,这是位置传感器和编码器在产线上的精美配合。在今天的工业制造场景里,工业传感器无所不在,物流、上料、订单控制等自动化环节都离不开它们,是机器进行数据采集的关键器件,与控制系统、软件一起成为支撑工业体系运转新的“铁三角”。

目前,全球主要国家和地区都在强调工业4.0升级,其本质是打造数字化、定制化的产品和服务的生产模式。现阶段,挖掘数据价值进而实现智能化升级成为工厂自主革新的要点。工业传感器赋予工厂和外界“沟通交流”的能力——通过传感数据构建数字网络来优化生产设备。当然,这个过程也带动了工业传感器的需求量大涨。根据MarketsandMarkets的报告,全球工业传感器市场规模预计将从2021年的206亿美元增长到2026年的319亿美元,期间该市场预计将以9.1%的复合年增长率增长。

工业传感器的品类和特点

从概念上看,工业传感器是用于石油、化工、冶金、电力、机械制造等各种工业场景的传感器,泛指在工业制造过程中能将感受的力、热、光、磁、声、湿、电、环境等被测量数据转换成电信号输出的器件与装置。在庞大的工业自动化系统中,传感器主要作为输入设备,主要的产品特点是技术密集、多品种、小批量、部署灵活、安全稳定等。

在自动化技术的基础上,目前全球主要国家和地区都在部署和攻坚工业4.0。在此过程中,传统传感器和通信芯片、微处理器、驱动程序、软件算法等融为一体,成为工业智能传感器。如下图所示,目前亚太、北美和欧洲地区是工业4.0的重点区域,因此对工业智能传感器的需求量更大,厂商纷纷设立办事处。

图片.png

图1:工业智能传感器厂商办事处分布(图源:赛迪顾问)

在产品供应上,和广泛意义上的传感器一样,工业传感器也存在寡头市场特征,欧美日厂商手握大部分市场份额,代表厂商包括通用电气、爱默生、西门子、博世、意法半导体、霍尼韦尔、ABB、日本横河、欧姆龙等。

图片.png

图2:工业智能传感器三市场分布(图源:Frost & Sullivan)

如上所述,这些工业智能传感器塑造了工业4.0的“感知系统”。所谓的工业4.0就是以工业自动化技术为基础,实现生产系统和底层设备的深度融合,包括智慧工厂和智慧产品两大核心主题。从下图IBM工业4.0系统框图能够看出,系统最下方是物理层,也是整个系统的地基,我们刚刚提到的底层设备便主要分布于物理层,负责数据采集和现场执行,其中前者便是传感器在工业4.0系统中的用武之地。

图片.png

图3:IBM工业4.0系统(图源:IBM)

那么,传感器在工业4.0系统中具体要做哪些事情呢?实际上,工业4.0的核心要点是“务联网”,这里的“务”指的是具体的每一项业务。要将具体的业务部署到系统中,就需要对此进行量化,也就是制定各项任务指标。传感器要做的就是,帮助精密仪器、工业机器人、伺服控制系统和传动设备等设备严格执行这些指标,进而输出合格的产品。

当然,根据工业4.0承担的业务不同,所需要的传感器类型也就迥异。目前,比较常用的六种传感器分别是位置传感器、接近传感器、温度传感器、压力传感器、振动传感器和陀螺仪等六种。

这些常用的工业传感器在工业自动化以及工业4.0场景中应用广泛,尤其是工业4.0九大创新场景——OCP(订单控制生产)、AF(高适应性工厂)、SAL(自组织、自适应物流)、VBS(基于价值的服务)、TAP(产品透明性和适配性)、OSP(生产中对员工支持)、SP2(智能生产的智能产品开发)、IPD(创新性产品开发)和CRE(循环经济)。正如IBM工业4.0框架中提到的,这些应用场景的基础都是机器数据,由传感器采集而来。

随着工业4.0进程的深入,工业传感器也在发生着变化,主要趋势包括模块化、紧凑封装、更高精度、更大测量范围以及适合用于空间受限和恶劣环境的应用。接下来,我们就为大家推荐贸泽电子平台在售的“六种常用工业传感器”的代表产品。

符合AEC-Q100标准的轴上磁性位置传感器

位置传感器主要用于检测产品和设备的具体位置,根据实现原理的不同,可以分为自动平衡式位置传感器、超声波位置传感器、电容式位置传感器、压力式位置传感器、电磁式位置传感器等。在工业制造场景中,除了我们开篇提到的工业产线流程控制案例,位置传感器还可以用于工业电机、太阳能跟踪器、风机涡轮机等大型设备的位置控制。

下面我们为大家推荐一款电磁式位置传感器,贸泽电子官网上该器件的料号为AS5116-HSOM,来自制造商ams OSRAM。

图片.png

图4:AS5116-HSOM(图源:ams OSRAM)

AS5116-HSOM轴上磁性位置传感器是一款非接触式传感器,具有高可靠性、耐用性、低输出噪声和高精度模拟输出等领先的性能优势。AS5116-HSOM采用基于霍尔传感器技术的坚固架构和简单的双极磁体,能够测量整圈旋转时磁通密度(Bz)的正交分量以及角度信息,其中角度信息由缓冲差分正弦和余弦电压提供。

图片.png

图5:AS5116-HSOM系统框图(图源:ams OSRAM)

AS5116-HSOM符合AEC-Q100标准,在高速电机和其他要求严苛的汽车应用中提供准确的角度测量。由于采用的非接触式测量方式,AS5116-HSOM的高精度不会受到污物、灰尘、油脂、水分或其他污染物等环境因素影响。在方案设计上,AS5116-HSOM采用8引脚SOIC封装,使其更容易集成到汽车系统板布局中,且无需屏蔽,可降低系统成本。

在应用领域方面,AS5116-HSOM可用于电换向电机转子角度检测、电动助力转向系统、电动泵、传输系统中的执行器、起动机/发电机系统以及其他360°角度测量解决方案。

采用IsoSensor技术的数字压力传感器

压力传感器是指将系统中的压力信号转换为可输出信号的装置,根据测试压力类型的不同,可以分为压阻式压力传感器、电容式压力传感器和压电式压力传感器等。压力传感器的应用范围极为广泛,比如产线注塑、冲模、压缩、增压等程序都需要压力传感器,此外航空安全系统、矿山测试系统以及医疗检测系统等也会用到压力传感器。

在这里我们为大家推荐一款满液式圆柱形腔体内设有压阻式传感器芯片的数字I2C压力传感器,贸泽电子官网上该器件的料号为NPI-19J-002G2,来自制造商Amphenol Advanced Sensors。

图片.png

图6:NPI-19J-002G2(图源:Amphenol Advanced Sensors)

Amphenol Advanced Sensors公司的NPI-19数字I2C压力传感器采用先进的IsoSensor技术,在产品设计上,将压阻式传感器芯片封装在满液式圆柱形腔体内,通过不锈钢阀体和膜片与被测介质隔开。通过将隔离式传感技术和I2C接口协议融合在一起,具有理想的性能和成本优势。在数据输出端,NPI-19数字I2C压力传感器采用SenStable加工技术,提供优异的输出稳定性。

在产品应用方面,得益于NPI-19数字I2C压力传感器的模块化设计,允许各种压力传感器端口模块密封焊接到传感器头部,可以灵活用于工业过程控制、腐蚀性液体和气体测量、液压系统和阀门、储罐液位测量、气压测量、船舶和海洋系统、飞机和航空电子系统、医疗设备等广泛领域。

适用于空间受限应用的接近传感器

接近传感器能够检测被检测物的移动信息和存在信息,与位置传感器相比,一般接近传感器的响应更灵敏,根据实现原理不同,可分为电容式、电感式和光电式。接近传感器在工农业、航天和医疗领域都有广泛的应用,典型的应用包括防误触、避撞、唤醒等。

Molex Contrinex接近传感器既有电感式也有光电式,工程师朋友可以通过搜索料号120253-0181查看具体的产品详情。

图片.png

图7:120253-0181(图源:Molex Contrinex)

Molex Contrinex电感式和光电式传感器是集成IO-Link的一体式传感器,采用紧凑封装。虽然体型小巧,但性能卓越、坚固耐用,能够提供较大的检测范围,其中电感式传感器具有1mm至40mm的检测距离范围,而光电式传感器则具有1mm至50,000mm的检测距离范围。Molex Contrinex电感式和光电式传感器采用多种专用设计保证产品的稳定输出,比如光电式传感器具有良好的色彩和对比度识别功能,包括带背景抑制功能的漫射传感器,并采用用于透明物体检测的紫外线技术;电感式传感器采用一体式factor-1钢制和铝制外壳,具有良好的抗振动和抗冲击能力。并且,这些产品都支持IP67防护等级。

在应用领域方面,Molex Contrinex电感式和光电式传感器可以广泛用于包装机、食品和饮料、装罐设备、纺织、机床、物流、风电等行业,其中包括灰尘、面粉、油污、碎石片或泥泞的水中等高度污染环境。

高精度的可编程数字陀螺仪

陀螺仪是测量被检测物角度、角速度和角加速度的传感器设备,陀螺仪的种类也有很多,仅电子式就有压电陀螺仪、MEMS微机械陀螺仪、光纤陀螺仪和激光陀螺仪等不同类型。陀螺仪最典型的应用就是提供方位基准,帮助矿山、交通、航天、生物医学、环境监控等领域的精密仪器实现定位和姿态控制。

下面为大家介绍一款来自制造商ADI的可编程数字陀螺仪,贸泽电子官网上该器件料号为ADIS16260BCCZ。

ADIS16260BCCZ作为一款可编程低功耗陀螺仪,在单个紧凑型封装内集成了业界领先的MEMS和信号处理技术,用于在复杂和恶劣工作条件下检测和测量物体角速率。ADIS16260BCCZ提供数种可编程系统内优化功能,包括传感器带宽开关(50Hz和330Hz)、Bartlett窗口FIR滤波器长度和采样速率设置,帮助用户优化噪声和带宽。并且,数字输入/输出线路提供数据就绪信号,以便主机处理器高效管理数据的一致性。

图片.png

图8:ADIS16260BCCZ系统框图(图源:ADI)

上述这些创新式的设计为ADIS16260BCCZ带来两大明显的产品优势。其一是该器件具有同类MEMS陀螺仪需要全动校准才能达到的精度性能;其二是该器件接通电源后,无需从系统处理器发出配置命令,便自动启动并开始传感器数据采样。此外,通过ADIS16260BCCZ器件上的可寻址寄存器结构和通用串行外设接口(SPI),可以轻松访问传感器数据和配置设置。这些领先的性能使得ADIS16260BCCZ在平台控制与稳定、导航、医疗仪器、机器人技术等应用领域拥有明显优势。

高精度、低功耗的振动传感器

振动传感器是指将系统中的机械量通过内部机械装置和机电转换装置转化为电信号的传感器,根据机电转换装置的不同,有电动式、压电式、电涡流式、电感式、电容式、电阻式、光电式等丰富的类型。振动传感器在防盗、故障检测和精度检测等领域应用广泛,尤其是后两者,随着工业设备精密度提升,振动传感器可以第一时间捕捉到异常震动,防止因为紧固件松动等原因造成的系统故障。

我们在此为大家介绍一款MEMS 3轴加速度传感器,来自制造商Omron,贸泽电子官网上该器件的料号为D7S-A0001。

图片.png

图9:D7S-A0001(图源:Omron)

D7S-A0001是高精度新一代振动传感器,用于减轻地震引起的次生灾害。该器件具备高精度、低功耗的产品特性,通过3轴加速传感器+独特SI值计算算法的创新设计,使其能够提供卓越性能。在预防地震次生灾害方面,D7S-A0001的SI值设计可实现更接近地震烈度的高精度判定,配备与传统钢珠式地震计动作类似的阻断输出端子(INT 1),确保与钢珠式地震计兼容,并可以通过关闭和停止危险器件来防止二次损坏。器件上的I2C接口使其能够与外部通信,提供传感器获取到的地震信息。

图片.png

图10:D7S-A0001动作时序图(图源:Omron)

特别需要指出的是,D7S-A0001面积非常小,仅为9.8mm x 10.9mm,可以嵌入到各种器件中,比如控制柜、电表/燃气表、桥梁/隧道、防火系统和铁路等应用。

类型丰富的工业温度传感器

温度传感器是指能感受温度并转换成可用输出信号的传感器,主要的分类包括热敏电阻、热电偶、电阻温度检测器、模拟温度计和数字温度计等。温度传感器广泛应用于医疗、重型机械、水处理、风电、轨道交通等行业,流量、辐射、气体压力、热化学反应等环境参数都可以被温度传感器转化为电信号。

同样来自Omron的E52-P35C-ND3.2是一款工业温度传感器,是已停产产品E52-P35C D=3.2的替代型号。

图片.png

图11:E52-P35C-ND3.2(图源:Omron)

E52-P35C-ND3.2是Omron E52系列温度传感器里的新一代产品,适用于温度控制器的热输入装置。Omron E52系列拥有丰富的产品类型可供选择,包括通用型、低成本型、专用型三大类,适配于各种温度、位置和环境,以及终端的类型和形状。

工业传感器价值不断提升

随着越来越多的行业以实现工业4.0为目标,传感器在工业领域的应用将越来越广泛。有了传感器,系统设备就有了“感知器官”,就有了和外界交流的窗口,帮助提升工业生产效率和智能化水平。毫无疑问,随着工业4.0进程深入,工业传感器会迸发出更大的能量。在产品供应方面,工业传感器将愈发集中,头部厂商的市占比会与日俱增;在产品设计方面,网络化、智能化、小型化和模块化等技术趋势将进一步提升智能传感器的占比。

当然,工业应用有自己的特殊性,需要工程师根据具体情况慎重挑选传感器方案,在贸泽电子官网,可以为工程师提供应有尽有的工业传感器选择。

]]>
当你站在饮料装瓶的自动化产线旁,你会发现,无需人工介入,每一个空瓶都能够精准地停在注射喷头的下方,完成装填后转移到下一道工序,这是位置传感器和编码器在产线上的精美配合。在今天的工业制造场景里,工业传感器无所不在,物流、上料、订单控制等自动化环节都离不开它们,是机器进行数据采集的关键器件,与控制系统、软件一起成为支撑工业体系运转新的“铁三角”。

目前,全球主要国家和地区都在强调工业4.0升级,其本质是打造数字化、定制化的产品和服务的生产模式。现阶段,挖掘数据价值进而实现智能化升级成为工厂自主革新的要点。工业传感器赋予工厂和外界“沟通交流”的能力——通过传感数据构建数字网络来优化生产设备。当然,这个过程也带动了工业传感器的需求量大涨。根据MarketsandMarkets的报告,全球工业传感器市场规模预计将从2021年的206亿美元增长到2026年的319亿美元,期间该市场预计将以9.1%的复合年增长率增长。

工业传感器的品类和特点

从概念上看,工业传感器是用于石油、化工、冶金、电力、机械制造等各种工业场景的传感器,泛指在工业制造过程中能将感受的力、热、光、磁、声、湿、电、环境等被测量数据转换成电信号输出的器件与装置。在庞大的工业自动化系统中,传感器主要作为输入设备,主要的产品特点是技术密集、多品种、小批量、部署灵活、安全稳定等。

在自动化技术的基础上,目前全球主要国家和地区都在部署和攻坚工业4.0。在此过程中,传统传感器和通信芯片、微处理器、驱动程序、软件算法等融为一体,成为工业智能传感器。如下图所示,目前亚太、北美和欧洲地区是工业4.0的重点区域,因此对工业智能传感器的需求量更大,厂商纷纷设立办事处。

图片.png

图1:工业智能传感器厂商办事处分布(图源:赛迪顾问)

在产品供应上,和广泛意义上的传感器一样,工业传感器也存在寡头市场特征,欧美日厂商手握大部分市场份额,代表厂商包括通用电气、爱默生、西门子、博世、意法半导体、霍尼韦尔、ABB、日本横河、欧姆龙等。

图片.png

图2:工业智能传感器三市场分布(图源:Frost & Sullivan)

如上所述,这些工业智能传感器塑造了工业4.0的“感知系统”。所谓的工业4.0就是以工业自动化技术为基础,实现生产系统和底层设备的深度融合,包括智慧工厂和智慧产品两大核心主题。从下图IBM工业4.0系统框图能够看出,系统最下方是物理层,也是整个系统的地基,我们刚刚提到的底层设备便主要分布于物理层,负责数据采集和现场执行,其中前者便是传感器在工业4.0系统中的用武之地。

图片.png

图3:IBM工业4.0系统(图源:IBM)

那么,传感器在工业4.0系统中具体要做哪些事情呢?实际上,工业4.0的核心要点是“务联网”,这里的“务”指的是具体的每一项业务。要将具体的业务部署到系统中,就需要对此进行量化,也就是制定各项任务指标。传感器要做的就是,帮助精密仪器、工业机器人、伺服控制系统和传动设备等设备严格执行这些指标,进而输出合格的产品。

当然,根据工业4.0承担的业务不同,所需要的传感器类型也就迥异。目前,比较常用的六种传感器分别是位置传感器、接近传感器、温度传感器、压力传感器、振动传感器和陀螺仪等六种。

这些常用的工业传感器在工业自动化以及工业4.0场景中应用广泛,尤其是工业4.0九大创新场景——OCP(订单控制生产)、AF(高适应性工厂)、SAL(自组织、自适应物流)、VBS(基于价值的服务)、TAP(产品透明性和适配性)、OSP(生产中对员工支持)、SP2(智能生产的智能产品开发)、IPD(创新性产品开发)和CRE(循环经济)。正如IBM工业4.0框架中提到的,这些应用场景的基础都是机器数据,由传感器采集而来。

随着工业4.0进程的深入,工业传感器也在发生着变化,主要趋势包括模块化、紧凑封装、更高精度、更大测量范围以及适合用于空间受限和恶劣环境的应用。接下来,我们就为大家推荐贸泽电子平台在售的“六种常用工业传感器”的代表产品。

符合AEC-Q100标准的轴上磁性位置传感器

位置传感器主要用于检测产品和设备的具体位置,根据实现原理的不同,可以分为自动平衡式位置传感器、超声波位置传感器、电容式位置传感器、压力式位置传感器、电磁式位置传感器等。在工业制造场景中,除了我们开篇提到的工业产线流程控制案例,位置传感器还可以用于工业电机、太阳能跟踪器、风机涡轮机等大型设备的位置控制。

下面我们为大家推荐一款电磁式位置传感器,贸泽电子官网上该器件的料号为AS5116-HSOM,来自制造商ams OSRAM。

图片.png

图4:AS5116-HSOM(图源:ams OSRAM)

AS5116-HSOM轴上磁性位置传感器是一款非接触式传感器,具有高可靠性、耐用性、低输出噪声和高精度模拟输出等领先的性能优势。AS5116-HSOM采用基于霍尔传感器技术的坚固架构和简单的双极磁体,能够测量整圈旋转时磁通密度(Bz)的正交分量以及角度信息,其中角度信息由缓冲差分正弦和余弦电压提供。

图片.png

图5:AS5116-HSOM系统框图(图源:ams OSRAM)

AS5116-HSOM符合AEC-Q100标准,在高速电机和其他要求严苛的汽车应用中提供准确的角度测量。由于采用的非接触式测量方式,AS5116-HSOM的高精度不会受到污物、灰尘、油脂、水分或其他污染物等环境因素影响。在方案设计上,AS5116-HSOM采用8引脚SOIC封装,使其更容易集成到汽车系统板布局中,且无需屏蔽,可降低系统成本。

在应用领域方面,AS5116-HSOM可用于电换向电机转子角度检测、电动助力转向系统、电动泵、传输系统中的执行器、起动机/发电机系统以及其他360°角度测量解决方案。

采用IsoSensor技术的数字压力传感器

压力传感器是指将系统中的压力信号转换为可输出信号的装置,根据测试压力类型的不同,可以分为压阻式压力传感器、电容式压力传感器和压电式压力传感器等。压力传感器的应用范围极为广泛,比如产线注塑、冲模、压缩、增压等程序都需要压力传感器,此外航空安全系统、矿山测试系统以及医疗检测系统等也会用到压力传感器。

在这里我们为大家推荐一款满液式圆柱形腔体内设有压阻式传感器芯片的数字I2C压力传感器,贸泽电子官网上该器件的料号为NPI-19J-002G2,来自制造商Amphenol Advanced Sensors。

图片.png

图6:NPI-19J-002G2(图源:Amphenol Advanced Sensors)

Amphenol Advanced Sensors公司的NPI-19数字I2C压力传感器采用先进的IsoSensor技术,在产品设计上,将压阻式传感器芯片封装在满液式圆柱形腔体内,通过不锈钢阀体和膜片与被测介质隔开。通过将隔离式传感技术和I2C接口协议融合在一起,具有理想的性能和成本优势。在数据输出端,NPI-19数字I2C压力传感器采用SenStable加工技术,提供优异的输出稳定性。

在产品应用方面,得益于NPI-19数字I2C压力传感器的模块化设计,允许各种压力传感器端口模块密封焊接到传感器头部,可以灵活用于工业过程控制、腐蚀性液体和气体测量、液压系统和阀门、储罐液位测量、气压测量、船舶和海洋系统、飞机和航空电子系统、医疗设备等广泛领域。

适用于空间受限应用的接近传感器

接近传感器能够检测被检测物的移动信息和存在信息,与位置传感器相比,一般接近传感器的响应更灵敏,根据实现原理不同,可分为电容式、电感式和光电式。接近传感器在工农业、航天和医疗领域都有广泛的应用,典型的应用包括防误触、避撞、唤醒等。

Molex Contrinex接近传感器既有电感式也有光电式,工程师朋友可以通过搜索料号120253-0181查看具体的产品详情。

图片.png

图7:120253-0181(图源:Molex Contrinex)

Molex Contrinex电感式和光电式传感器是集成IO-Link的一体式传感器,采用紧凑封装。虽然体型小巧,但性能卓越、坚固耐用,能够提供较大的检测范围,其中电感式传感器具有1mm至40mm的检测距离范围,而光电式传感器则具有1mm至50,000mm的检测距离范围。Molex Contrinex电感式和光电式传感器采用多种专用设计保证产品的稳定输出,比如光电式传感器具有良好的色彩和对比度识别功能,包括带背景抑制功能的漫射传感器,并采用用于透明物体检测的紫外线技术;电感式传感器采用一体式factor-1钢制和铝制外壳,具有良好的抗振动和抗冲击能力。并且,这些产品都支持IP67防护等级。

在应用领域方面,Molex Contrinex电感式和光电式传感器可以广泛用于包装机、食品和饮料、装罐设备、纺织、机床、物流、风电等行业,其中包括灰尘、面粉、油污、碎石片或泥泞的水中等高度污染环境。

高精度的可编程数字陀螺仪

陀螺仪是测量被检测物角度、角速度和角加速度的传感器设备,陀螺仪的种类也有很多,仅电子式就有压电陀螺仪、MEMS微机械陀螺仪、光纤陀螺仪和激光陀螺仪等不同类型。陀螺仪最典型的应用就是提供方位基准,帮助矿山、交通、航天、生物医学、环境监控等领域的精密仪器实现定位和姿态控制。

下面为大家介绍一款来自制造商ADI的可编程数字陀螺仪,贸泽电子官网上该器件料号为ADIS16260BCCZ。

ADIS16260BCCZ作为一款可编程低功耗陀螺仪,在单个紧凑型封装内集成了业界领先的MEMS和信号处理技术,用于在复杂和恶劣工作条件下检测和测量物体角速率。ADIS16260BCCZ提供数种可编程系统内优化功能,包括传感器带宽开关(50Hz和330Hz)、Bartlett窗口FIR滤波器长度和采样速率设置,帮助用户优化噪声和带宽。并且,数字输入/输出线路提供数据就绪信号,以便主机处理器高效管理数据的一致性。

图片.png

图8:ADIS16260BCCZ系统框图(图源:ADI)

上述这些创新式的设计为ADIS16260BCCZ带来两大明显的产品优势。其一是该器件具有同类MEMS陀螺仪需要全动校准才能达到的精度性能;其二是该器件接通电源后,无需从系统处理器发出配置命令,便自动启动并开始传感器数据采样。此外,通过ADIS16260BCCZ器件上的可寻址寄存器结构和通用串行外设接口(SPI),可以轻松访问传感器数据和配置设置。这些领先的性能使得ADIS16260BCCZ在平台控制与稳定、导航、医疗仪器、机器人技术等应用领域拥有明显优势。

高精度、低功耗的振动传感器

振动传感器是指将系统中的机械量通过内部机械装置和机电转换装置转化为电信号的传感器,根据机电转换装置的不同,有电动式、压电式、电涡流式、电感式、电容式、电阻式、光电式等丰富的类型。振动传感器在防盗、故障检测和精度检测等领域应用广泛,尤其是后两者,随着工业设备精密度提升,振动传感器可以第一时间捕捉到异常震动,防止因为紧固件松动等原因造成的系统故障。

我们在此为大家介绍一款MEMS 3轴加速度传感器,来自制造商Omron,贸泽电子官网上该器件的料号为D7S-A0001。

图片.png

图9:D7S-A0001(图源:Omron)

D7S-A0001是高精度新一代振动传感器,用于减轻地震引起的次生灾害。该器件具备高精度、低功耗的产品特性,通过3轴加速传感器+独特SI值计算算法的创新设计,使其能够提供卓越性能。在预防地震次生灾害方面,D7S-A0001的SI值设计可实现更接近地震烈度的高精度判定,配备与传统钢珠式地震计动作类似的阻断输出端子(INT 1),确保与钢珠式地震计兼容,并可以通过关闭和停止危险器件来防止二次损坏。器件上的I2C接口使其能够与外部通信,提供传感器获取到的地震信息。

图片.png

图10:D7S-A0001动作时序图(图源:Omron)

特别需要指出的是,D7S-A0001面积非常小,仅为9.8mm x 10.9mm,可以嵌入到各种器件中,比如控制柜、电表/燃气表、桥梁/隧道、防火系统和铁路等应用。

类型丰富的工业温度传感器

温度传感器是指能感受温度并转换成可用输出信号的传感器,主要的分类包括热敏电阻、热电偶、电阻温度检测器、模拟温度计和数字温度计等。温度传感器广泛应用于医疗、重型机械、水处理、风电、轨道交通等行业,流量、辐射、气体压力、热化学反应等环境参数都可以被温度传感器转化为电信号。

同样来自Omron的E52-P35C-ND3.2是一款工业温度传感器,是已停产产品E52-P35C D=3.2的替代型号。

图片.png

图11:E52-P35C-ND3.2(图源:Omron)

E52-P35C-ND3.2是Omron E52系列温度传感器里的新一代产品,适用于温度控制器的热输入装置。Omron E52系列拥有丰富的产品类型可供选择,包括通用型、低成本型、专用型三大类,适配于各种温度、位置和环境,以及终端的类型和形状。

工业传感器价值不断提升

随着越来越多的行业以实现工业4.0为目标,传感器在工业领域的应用将越来越广泛。有了传感器,系统设备就有了“感知器官”,就有了和外界交流的窗口,帮助提升工业生产效率和智能化水平。毫无疑问,随着工业4.0进程深入,工业传感器会迸发出更大的能量。在产品供应方面,工业传感器将愈发集中,头部厂商的市占比会与日俱增;在产品设计方面,网络化、智能化、小型化和模块化等技术趋势将进一步提升智能传感器的占比。

当然,工业应用有自己的特殊性,需要工程师根据具体情况慎重挑选传感器方案,在贸泽电子官网,可以为工程师提供应有尽有的工业传感器选择。

]]>
//www.cazqn.com/article/202312/453877.htm Wed, 13 Dec 2023 19:06:46 +0800
<![CDATA[ V2X被推崇多年,为何至今还犹抱琵琶半遮面?本文讲明白了 ]]> V2V的由来

世界卫生组织的研究数据显示,每年约有130万人死于道路交通事故。随着车辆的普及,驾驶员、行人和车辆之间的沟通被提升到一个更高的水平,以此保证道路的安全。V2X(Vehicle to X)是一种通信技术,允许车辆与其他车辆、道路用户和基础设施进行通信,主要目的就是提高行车安全、节省能源以及改善道路通行效率。

然而,这么有实际好处的技术,经历了十几年的发展,至今还没有做到大规模普及,是什么因素影响了它的发展,问题又出在哪里呢?

什么是V2X?

首先,让我们来看看什么是V2X,这里的“X”到底意味着什么?

从技术角度讲,V2X是一种车辆通信协议,它允许汽车与任何可能受车辆影响的实体之间进行通信,包括V2I(车辆到基础设施)、V2V(车辆到车辆)、V2N(车辆到网络)和V2P(车辆到行人),这些就是目前最典型或最常见的V2X类型。

V2I(车辆到基础设施)

V2I支持车辆与道路沿线交通管理基础设施之间的数据交换。这些基础设施包括沿途架设的RFID读取器和摄像头、交通信号灯、车道标记、路灯以及停车收费表等。V2I通信可以为高级驾驶员辅助系统(ADAS)和自动驾驶汽车提供有价值的输入信息,有助于更安全、更有效的实施车辆导航。

V2V(车辆到车辆)

V2V通信是指车辆之间能够相互交换速度、位置和方向等数据。这种交换常常以无线方式实时进行。通过在车辆之间建立实时连接,V2V增强了道路态势感知,有助于预防事故、改善交通流量和优化油耗。V2V是ADAS和自动驾驶的重要组成部分,它使车辆能够根据获取的数据做出明智的决策并主动应对实时路况。

V2N(车辆到网络)

V2N通信将车辆与更广泛的通信网络连接起来,例如蜂窝或Wi-Fi网络。这种连接使车辆能够访问实时交通信息、天气更新和路线建议等。V2N还可以实现远程诊断和空中更新,使制造商能够监测车辆健康状况并提供软件改进。此外,V2N通信通过将车辆数据与其他来源如公共交通系统和城市基础设施的集成,支持智能城市和互联交通生态系统的发展。

V2P(车辆到行人)

V2P是指汽车能够感知附近的行人(包括骑自行车的人、婴儿车和轮椅等)。这项技术通常依靠行人携带的智能手机、可穿戴设备或其他设备来传输他们的位置和移动数据。配备V2P的车辆可以使用这些信息来识别和避免潜在的碰撞,从而提高所有道路使用者的安全性。

当前,汽车行业仍保持着良好的发展势头,汽车中各种安全特征和通信技术的集成增强了车辆的便利性和安全性。自适应巡航控制(ACC)、ADAS、变道辅助、盲点检测等,这些技术有力地带动了V2X的市场需求。综合来看,V2V将在整个V2X市场中起主导作用。除此之外,V2N和V2I的结合将有助于克服交通拥堵、排放和其他类型的交通问题,接下来将成为推动V2X市场增长的另一股重要力量。

标准之争使V2X发展受阻

在许多新兴技术领域,经常会有关于技术和标准化的争论,V2X也不例外。如本文开头所言,V2X是一种使车辆能够与周围任何实体进行通信的技术,首要的理念是确保更安全、更高效的道路管理。为了将车辆连接到其他实体并交换数据,V2X使用了专用短程通信(DSRC)和蜂窝V2X(C-V2X)两种不同的技术。这两个协议均以非常高的速度运行,具有低延迟的高频数据交换。

DSRC和C-V2X使用了不同的无线标准。其中,DSRC使用WAVE IEEE(802.11p),而C-V2X使用的则是基于蜂窝移动技术的LTE。DSRC在5850MHZ至5925MHz频带中具有6Mbps至26Mbps的数据速率。C-V2X具有26Mbps(RX)、高达26Mbps(TX)的数据速率。FCC于2020年11月将5.9 GHz频带分配给Wi-Fi和C-V2X,因此两者都工作在5.9GHz频段,都使用相同的用例和相同的消息集(SAE J2735和J2945),并且都使用数字签名来确保消息提供者的安全和信任。

不过,这两种无线通信技术不能相互通信,它们各自的工作范围也大不相同。DSRC约为300米,C-V2X的延迟较低,覆盖范围要多出20%至30%,尤其是在有障碍物的情况下,C-V2X的表现要比DSRC好得多。总体而言,C-V2X在性能上明显占优。然而,DSRC对于关键安全应用仍然具有足够高的可靠性。

既然DSRC和C-V2X在技术上并无明显的短板,为什么在实际应用中却成为V2X快速发展的一个瓶颈呢?

简单的回答:汽车制造商在DSRC和C-V2X之间的摇摆不定直接导致V2X的发展受阻。

在无线通信领域,不同的频率用于不同的应用,分配一个频段就像划出一块土地用于不同的商业用途。

1999年,联邦通信委员会(FCC)在5.9GHz频带中开辟了75MHz的频谱。这是由政府支持的一项名为“专用短程通信”的标准,也称为DSRC或802.11p。2017款凯迪拉克CTS轿车就使用了DSRC技术,并具备V2V能力。全球汽车制造商贸易协会(包括本田、日产、斯巴鲁、起亚和丰田)都是DSRC的支持者。更进一步,2016年,美国国家公路交通安全管理局开始了一项程序,最终将强制要求2023年(及以后)销售的所有汽车都采用基于DSRC的V2V技术。

欧洲同样接受了类似的标准,一切看起来都很好。很长一段时间,汽车制造商和研究机构不断向消费者展示一个又一个DSRC未来应用场景。经过大约15年的标准演变,DSRC的前景看起来一片光明。直到美国政府踩下刹车,C-V2X标准突然出现,V2X的江湖不再平静:DSRC不再是V2V技术的唯一选择,基于5G LTE的C-V2X成为DSRC 的强有力竞争者。百度公司就是C-V2X的有力支持者,目前他们已经完成了5G LTE连接自动驾驶汽车的测试。德国大众汽车也表示,5G LTE将连接他们所有的自动驾驶汽车。

那些主张5G LTE而非DSRC的人列举了该项技术的各种优势,包括更高的互操作性、更宽的带宽、更高的安全性以及使用现有蜂窝网络带来的便利性。他们的观点很容易取得共鸣:基于5G LTE的C-V2X使用与DSRC相同的基本概念,但它不是依赖于在DSRC场景中必须添加到汽车上的专有网络模块,而是建立在5G蜂窝这些已经无处不在的网络上。既然已经拥有广覆盖的无线通信网络,为什么我们还要投资数百万美元来部署新的网络呢?

一时间,C-V2X似乎很快改变了市场格局并取得了阶段性胜利。许多将要开发V2X/V2V重要组件的芯片制造商都倾向于采用C-V2X技术,比如高通公司就已经着手推动C-V2X作为无线电标准(首先是LTE,后来是5G)并推出了相应的产品,爱立信表示准备开始开发相关解决方案。

C-V2X不仅凭借出色的性能比如续航里程、延迟和容量等,而且在商业模式上似乎也赢得了这场有关V2X标准之争的胜利。尽管V2X使用DSRC技术已有近20年的历史,随着C-V2X不断获得更多企业的支持,V2X和5G正在迅速成为汽车制造商非常看重的技术,尤其是在未来几年全自动驾驶汽车技术商业化进程中,更是有着不可替代的作用。

V2X的发展现状

在欧洲,目前V2X正朝着大众市场部署的方向发展,DSRC是事实上的技术,所有的市场活动大多基于DSRC。

大众高尔夫MK8的DSRC技术部署,以及C-ROADS平台之后在多个欧洲国家的大规模基础设施,巩固了DSRC的使用,计划中的车辆和基础设施部署仅为DSRC。另外,日本也决定使用DSRC,但将使用760MHz频段,这使其系统与世界其他地区不兼容。

中国正在加速大规模部署C-V2X。中国的国家战略要求到2025年实现大规模V2X覆盖,并专门为LTE-V2X分配频谱,技术确定性加上明确的政府指导,为C-V2X的大规模部署创造了必要条件。美国现任政府尚未重新批准DSRC,虽然他们大力倡导C-V2X技术,但似乎是想让市场做出最终决定。

无论采用何种标准,有一点是大家的共识:世界各国政府认为V2X是减少道路死亡人数的关键发展,也是迈向全自动驾驶汽车的技术里程碑,其前进的脚步虽然缓慢但并没有停歇。

在技术上,V2X通信系统由车载单元(OBU)和路侧单元(RSU)组成。OBU允许与其他车载和路边设备进行无线通信。RSU安装在路标、交通信号灯和路灯上。路侧单位将道路使用者与街道基础设施连接起来,以帮助管理道路某些部分的过度拥挤,防止人员伤亡。

NXP V2X车辆通信平台



图1:NXP i.MX 8XLite SOM框图(图源:SolidRun)

SolidRun基于NXP i.MX 8XLite模块系统(SOM)为OEM和集成商提供了强大的V2X车辆通信平台,旨在增强各种面向车辆的基础设施和子系统的安全性。NXP i.MX 8XLite SOM具有一系列高速接口,包括以太网、PCIe Gen 3、USB 2.0和CAN-FD。它得到了恩智浦(NXP)长寿计划( longevity program)的支持,使其成为适用于高级车载通信应用的最佳解决方案。

该方案支持车辆和道路基础设施之间的V2I通信,该基础设施包含随V2I芯片组提供的嵌入式RSU。RSU控制关键的“智能交通”设备,以引导交通并协助急救车辆接近患者。应急车辆可在需要时利用RSU系统安全通过红灯。

此外,V2I系统提高了弱势道路使用者(如骑自行车的人和行人)的安全性。当接近十字路口时,附近所有连接的车辆都可能识别出它们,并向两个道路使用者发送警告通知。

i.MX 8XLite SOM还配备了高性能敏捷安全引擎SXF1800,为V2X应用程序提供防篡改加密功能。i.MX8XLite入门套件由i.MX8XLite SOM、HummingBoard i.MX 8XLite载板和各种配件组成,为产品开发人员提供了一个全面的评估和应用程序开发平台。

Qorvo V2X联网汽车产品组合



图2:支持C-V2X和DSRC系统的Qorvo QPF1002Q车用前端模块(图源:Mouser)

Qorvo V2X联网汽车产品组合是一套与芯片组无关的解决方案,涵盖V2V、V2I、V2P和V2N通信,实现联网汽车的下一代自主和实时监控。

该产品套件为V2X通信提供现成的解决方案,包括频段47/Wi-Fi 体声波(BAW)滤波器,可实现Wi-Fi与V2X 5.9GHz频段共存。这种能力对在车辆与其周围地区之间建立可靠联系至关重要。它还包括两个支持C-V2X 和DSRC系统的集成前端模块(FEM)、一个数字步进衰减器、一个发射/接收开关和一个低噪声放大器。

其中,Qorvo QPF1002Q车用前端模块在单个单元中集成了5GHz功率放大器(PA)、Tx/Rx天线开关和可旁路低噪声放大器(LNA)。该器件的频率范围为5.77GHz至5.925GHz,Tx增益为28dB,Rx增益为13dB,噪声系数低至2.6dB。

器件的性能经过优化,设有功率放大器,可在5V电压下工作,功耗低,并能保持高线性输出功率和领先的吞吐量;Qorvo QPQ2200Q 5855-5925MHz RF BAW滤波器是一款高性能、大功率、BAW带通滤波器,具有极陡的裙边。该器件在C-V2X频段(B47)和高抗带外(OOB)频段具有低损耗,可与Wi-Fi和LTE系统共存。

V2X未来的市场预测

根据Fortune Business Insights的数据,汽车V2X市场将从2021年的6.289亿美元增长到2028年的73.519亿美元,2021-2028年的复合年增长率(CAGR)为42.1%。

联网汽车的普及率上升以及城市化和工业化的快速增长等因素预计将推动市场增长。然而,与数据通信相关的高实施成本和安全问题阻碍了汽车V2X市场的增长。

相反,5G和AI技术的未来潜力,加上C-V2X技术的进步以及半自动驾驶和自动驾驶汽车的发展,预计将为汽车V2X市场的增长提供增长机会。

因此,Precedence Research预计,2022年全球汽车V2X市场规模达到24亿美元,到2032年将达到662.6亿美元,在2023年至2032年的预测期内以39.4%的复合年增长率增长。高增长率是由对汽车安全功能以及更好的交通管理系统的需求增加推动的。

图3:2022年至2032年全球汽车V2X市场发展预测(图源:Precedence Research)

汽车V2X市场的主要参与者包括Altran、Autotalks、大陆集团(Continental)、HARMAN国际、Infineon、NXP、Qualcomm、Bosch和STMicroelectronics等。

V2X发展趋势预判

实时监控

物联网传感器可以持续监测设备,并收集各种参数的数据,如温度、振动、压力等。这种实时监测允许早期检测异常或偏离正常操作情况的出现。

数据驱动的决策

改进的安全和风险管理是物联网预测性维护的一大优势。物联网设备收集的大量数据可以使用先进的分析技术进行处理和分析。通过将机器学习算法应用于这些数据,可以识别模式、趋势和潜在的故障特征,使得维护团队能够做出数据驱动的决策,并有效地确定维护活动的优先级。

V2X技术正在通过创建一个由车辆、基础设施、行人和网络组成的互联生态系统,将物联网连接扩展到道路,改变我们的出行方式。这个互联系统依靠实时数据交换来提高交通效率,增强安全性,并实现高级驾驶员辅助系统(ADAS)和自动驾驶。随着车辆变得更加复杂,部署了更多的路边基础设施设备,云、车辆和路边基础设施之间无缝通信和数据传输的需求变得越来越重要。因此,必须解决传输大量实时数据的挑战,以释放V2X和自动驾驶汽车的全部潜力。接下来,V2X将在以下两个方面取代较大进展:

一是汽车数字化特征成为引入和实施V2X系统的完美窗口。车辆的数字化使得越来越多的智能应用程序在新一代汽车中实现,曾经是机械奇迹的汽车现在演变成计算机的进化。例如,地图更新、实时交通信息、第三方导航应用程序和远程控制功能都需要后端连接。此外,软件定义车辆技术使得汽车制造商可以在汽车出厂后很长一段时间内对其进行改进,这些联网车辆还可以与外部基础设施进行通信,为安全可靠的V2X系统带来了更大的发展机会。

二是V2X与自动驾驶汽车将并行发展。过去五年在自动驾驶和辅助驾驶领域产生了巨大的创新。除了提高自动驾驶能力外,V2V技术系统的改进增加了车辆之间的通信,提高了态势感知能力。V2X可以在所有级别的车辆自动化中提高安全性和交通效率。尽管V2X和自动驾驶背后的技术不同,但它们的结合有助于实现操纵和传感等关键功能。V2V是V2X的一个子集,指的是车辆之间的速度、方向、位置和制动等参数的通信。V2I主要是指车辆与车辆周围基础设施之间的通信。最终,V2X将成为未来全自动驾驶汽车的重要组成部分。

结语

正如FCC前主席Pai在2020年重新分配DSRC频谱时谈到的,如今道路上只有极少数的车辆提供类似V2V和V2X的产品。美国的情况是基本上仍然停滞不前。欧洲是DSRC的主要推手。中国早期广泛接受C-V2X,加上政府的大力推动,V2V在中国已有实例,比如别克GL8,这是一款仅在中国上市的小型商务车,它提供了八种V2V和V2X功能,包括紧急制动警告、失控警告、车辆异常警告、交叉口碰撞警告、限速警告、信号违规警告、危险位置警告和绿灯车速引导等。

V2X发展商用之路受阻的原因有很多种,有安全和隐私问题、监管和法律问题、基础设施投资问题等,但最主要的挑战还是因为缺乏统一的通信标准。关于DSRC和C-V2X,二者之间究竟哪个技术应成为V2X或V2V的主流标准,目前仍存在争论。好在业界已经意识到,在这一观点上达成共识对于V2X的广泛采用和互操作性至关重要。

V2X将为车辆带来一个全新的能力水平,它们能够在智慧城市中与彼此、行人和周围的世界互动,通过网络相互通信其位置、速度和方向,从而提高道路安全性。从现有态势看,C-V2X很有可能成为未来V2X的主流技术。目前,C-V2X 已纳入第三代合作伙伴计划(3GPP)版本14,3GPP版本16和版本17将会带来更多功能,将进一步加强C-V2X的性能。V2X大规模普及的事情,看起来只能交给市场和时间了。

]]>
V2V的由来

世界卫生组织的研究数据显示,每年约有130万人死于道路交通事故。随着车辆的普及,驾驶员、行人和车辆之间的沟通被提升到一个更高的水平,以此保证道路的安全。V2X(Vehicle to X)是一种通信技术,允许车辆与其他车辆、道路用户和基础设施进行通信,主要目的就是提高行车安全、节省能源以及改善道路通行效率。

然而,这么有实际好处的技术,经历了十几年的发展,至今还没有做到大规模普及,是什么因素影响了它的发展,问题又出在哪里呢?

什么是V2X?

首先,让我们来看看什么是V2X,这里的“X”到底意味着什么?

从技术角度讲,V2X是一种车辆通信协议,它允许汽车与任何可能受车辆影响的实体之间进行通信,包括V2I(车辆到基础设施)、V2V(车辆到车辆)、V2N(车辆到网络)和V2P(车辆到行人),这些就是目前最典型或最常见的V2X类型。

V2I(车辆到基础设施)

V2I支持车辆与道路沿线交通管理基础设施之间的数据交换。这些基础设施包括沿途架设的RFID读取器和摄像头、交通信号灯、车道标记、路灯以及停车收费表等。V2I通信可以为高级驾驶员辅助系统(ADAS)和自动驾驶汽车提供有价值的输入信息,有助于更安全、更有效的实施车辆导航。

V2V(车辆到车辆)

V2V通信是指车辆之间能够相互交换速度、位置和方向等数据。这种交换常常以无线方式实时进行。通过在车辆之间建立实时连接,V2V增强了道路态势感知,有助于预防事故、改善交通流量和优化油耗。V2V是ADAS和自动驾驶的重要组成部分,它使车辆能够根据获取的数据做出明智的决策并主动应对实时路况。

V2N(车辆到网络)

V2N通信将车辆与更广泛的通信网络连接起来,例如蜂窝或Wi-Fi网络。这种连接使车辆能够访问实时交通信息、天气更新和路线建议等。V2N还可以实现远程诊断和空中更新,使制造商能够监测车辆健康状况并提供软件改进。此外,V2N通信通过将车辆数据与其他来源如公共交通系统和城市基础设施的集成,支持智能城市和互联交通生态系统的发展。

V2P(车辆到行人)

V2P是指汽车能够感知附近的行人(包括骑自行车的人、婴儿车和轮椅等)。这项技术通常依靠行人携带的智能手机、可穿戴设备或其他设备来传输他们的位置和移动数据。配备V2P的车辆可以使用这些信息来识别和避免潜在的碰撞,从而提高所有道路使用者的安全性。

当前,汽车行业仍保持着良好的发展势头,汽车中各种安全特征和通信技术的集成增强了车辆的便利性和安全性。自适应巡航控制(ACC)、ADAS、变道辅助、盲点检测等,这些技术有力地带动了V2X的市场需求。综合来看,V2V将在整个V2X市场中起主导作用。除此之外,V2N和V2I的结合将有助于克服交通拥堵、排放和其他类型的交通问题,接下来将成为推动V2X市场增长的另一股重要力量。

标准之争使V2X发展受阻

在许多新兴技术领域,经常会有关于技术和标准化的争论,V2X也不例外。如本文开头所言,V2X是一种使车辆能够与周围任何实体进行通信的技术,首要的理念是确保更安全、更高效的道路管理。为了将车辆连接到其他实体并交换数据,V2X使用了专用短程通信(DSRC)和蜂窝V2X(C-V2X)两种不同的技术。这两个协议均以非常高的速度运行,具有低延迟的高频数据交换。

DSRC和C-V2X使用了不同的无线标准。其中,DSRC使用WAVE IEEE(802.11p),而C-V2X使用的则是基于蜂窝移动技术的LTE。DSRC在5850MHZ至5925MHz频带中具有6Mbps至26Mbps的数据速率。C-V2X具有26Mbps(RX)、高达26Mbps(TX)的数据速率。FCC于2020年11月将5.9 GHz频带分配给Wi-Fi和C-V2X,因此两者都工作在5.9GHz频段,都使用相同的用例和相同的消息集(SAE J2735和J2945),并且都使用数字签名来确保消息提供者的安全和信任。

不过,这两种无线通信技术不能相互通信,它们各自的工作范围也大不相同。DSRC约为300米,C-V2X的延迟较低,覆盖范围要多出20%至30%,尤其是在有障碍物的情况下,C-V2X的表现要比DSRC好得多。总体而言,C-V2X在性能上明显占优。然而,DSRC对于关键安全应用仍然具有足够高的可靠性。

既然DSRC和C-V2X在技术上并无明显的短板,为什么在实际应用中却成为V2X快速发展的一个瓶颈呢?

简单的回答:汽车制造商在DSRC和C-V2X之间的摇摆不定直接导致V2X的发展受阻。

在无线通信领域,不同的频率用于不同的应用,分配一个频段就像划出一块土地用于不同的商业用途。

1999年,联邦通信委员会(FCC)在5.9GHz频带中开辟了75MHz的频谱。这是由政府支持的一项名为“专用短程通信”的标准,也称为DSRC或802.11p。2017款凯迪拉克CTS轿车就使用了DSRC技术,并具备V2V能力。全球汽车制造商贸易协会(包括本田、日产、斯巴鲁、起亚和丰田)都是DSRC的支持者。更进一步,2016年,美国国家公路交通安全管理局开始了一项程序,最终将强制要求2023年(及以后)销售的所有汽车都采用基于DSRC的V2V技术。

欧洲同样接受了类似的标准,一切看起来都很好。很长一段时间,汽车制造商和研究机构不断向消费者展示一个又一个DSRC未来应用场景。经过大约15年的标准演变,DSRC的前景看起来一片光明。直到美国政府踩下刹车,C-V2X标准突然出现,V2X的江湖不再平静:DSRC不再是V2V技术的唯一选择,基于5G LTE的C-V2X成为DSRC 的强有力竞争者。百度公司就是C-V2X的有力支持者,目前他们已经完成了5G LTE连接自动驾驶汽车的测试。德国大众汽车也表示,5G LTE将连接他们所有的自动驾驶汽车。

那些主张5G LTE而非DSRC的人列举了该项技术的各种优势,包括更高的互操作性、更宽的带宽、更高的安全性以及使用现有蜂窝网络带来的便利性。他们的观点很容易取得共鸣:基于5G LTE的C-V2X使用与DSRC相同的基本概念,但它不是依赖于在DSRC场景中必须添加到汽车上的专有网络模块,而是建立在5G蜂窝这些已经无处不在的网络上。既然已经拥有广覆盖的无线通信网络,为什么我们还要投资数百万美元来部署新的网络呢?

一时间,C-V2X似乎很快改变了市场格局并取得了阶段性胜利。许多将要开发V2X/V2V重要组件的芯片制造商都倾向于采用C-V2X技术,比如高通公司就已经着手推动C-V2X作为无线电标准(首先是LTE,后来是5G)并推出了相应的产品,爱立信表示准备开始开发相关解决方案。

C-V2X不仅凭借出色的性能比如续航里程、延迟和容量等,而且在商业模式上似乎也赢得了这场有关V2X标准之争的胜利。尽管V2X使用DSRC技术已有近20年的历史,随着C-V2X不断获得更多企业的支持,V2X和5G正在迅速成为汽车制造商非常看重的技术,尤其是在未来几年全自动驾驶汽车技术商业化进程中,更是有着不可替代的作用。

V2X的发展现状

在欧洲,目前V2X正朝着大众市场部署的方向发展,DSRC是事实上的技术,所有的市场活动大多基于DSRC。

大众高尔夫MK8的DSRC技术部署,以及C-ROADS平台之后在多个欧洲国家的大规模基础设施,巩固了DSRC的使用,计划中的车辆和基础设施部署仅为DSRC。另外,日本也决定使用DSRC,但将使用760MHz频段,这使其系统与世界其他地区不兼容。

中国正在加速大规模部署C-V2X。中国的国家战略要求到2025年实现大规模V2X覆盖,并专门为LTE-V2X分配频谱,技术确定性加上明确的政府指导,为C-V2X的大规模部署创造了必要条件。美国现任政府尚未重新批准DSRC,虽然他们大力倡导C-V2X技术,但似乎是想让市场做出最终决定。

无论采用何种标准,有一点是大家的共识:世界各国政府认为V2X是减少道路死亡人数的关键发展,也是迈向全自动驾驶汽车的技术里程碑,其前进的脚步虽然缓慢但并没有停歇。

在技术上,V2X通信系统由车载单元(OBU)和路侧单元(RSU)组成。OBU允许与其他车载和路边设备进行无线通信。RSU安装在路标、交通信号灯和路灯上。路侧单位将道路使用者与街道基础设施连接起来,以帮助管理道路某些部分的过度拥挤,防止人员伤亡。

NXP V2X车辆通信平台



图1:NXP i.MX 8XLite SOM框图(图源:SolidRun)

SolidRun基于NXP i.MX 8XLite模块系统(SOM)为OEM和集成商提供了强大的V2X车辆通信平台,旨在增强各种面向车辆的基础设施和子系统的安全性。NXP i.MX 8XLite SOM具有一系列高速接口,包括以太网、PCIe Gen 3、USB 2.0和CAN-FD。它得到了恩智浦(NXP)长寿计划( longevity program)的支持,使其成为适用于高级车载通信应用的最佳解决方案。

该方案支持车辆和道路基础设施之间的V2I通信,该基础设施包含随V2I芯片组提供的嵌入式RSU。RSU控制关键的“智能交通”设备,以引导交通并协助急救车辆接近患者。应急车辆可在需要时利用RSU系统安全通过红灯。

此外,V2I系统提高了弱势道路使用者(如骑自行车的人和行人)的安全性。当接近十字路口时,附近所有连接的车辆都可能识别出它们,并向两个道路使用者发送警告通知。

i.MX 8XLite SOM还配备了高性能敏捷安全引擎SXF1800,为V2X应用程序提供防篡改加密功能。i.MX8XLite入门套件由i.MX8XLite SOM、HummingBoard i.MX 8XLite载板和各种配件组成,为产品开发人员提供了一个全面的评估和应用程序开发平台。

Qorvo V2X联网汽车产品组合



图2:支持C-V2X和DSRC系统的Qorvo QPF1002Q车用前端模块(图源:Mouser)

Qorvo V2X联网汽车产品组合是一套与芯片组无关的解决方案,涵盖V2V、V2I、V2P和V2N通信,实现联网汽车的下一代自主和实时监控。

该产品套件为V2X通信提供现成的解决方案,包括频段47/Wi-Fi 体声波(BAW)滤波器,可实现Wi-Fi与V2X 5.9GHz频段共存。这种能力对在车辆与其周围地区之间建立可靠联系至关重要。它还包括两个支持C-V2X 和DSRC系统的集成前端模块(FEM)、一个数字步进衰减器、一个发射/接收开关和一个低噪声放大器。

其中,Qorvo QPF1002Q车用前端模块在单个单元中集成了5GHz功率放大器(PA)、Tx/Rx天线开关和可旁路低噪声放大器(LNA)。该器件的频率范围为5.77GHz至5.925GHz,Tx增益为28dB,Rx增益为13dB,噪声系数低至2.6dB。

器件的性能经过优化,设有功率放大器,可在5V电压下工作,功耗低,并能保持高线性输出功率和领先的吞吐量;Qorvo QPQ2200Q 5855-5925MHz RF BAW滤波器是一款高性能、大功率、BAW带通滤波器,具有极陡的裙边。该器件在C-V2X频段(B47)和高抗带外(OOB)频段具有低损耗,可与Wi-Fi和LTE系统共存。

V2X未来的市场预测

根据Fortune Business Insights的数据,汽车V2X市场将从2021年的6.289亿美元增长到2028年的73.519亿美元,2021-2028年的复合年增长率(CAGR)为42.1%。

联网汽车的普及率上升以及城市化和工业化的快速增长等因素预计将推动市场增长。然而,与数据通信相关的高实施成本和安全问题阻碍了汽车V2X市场的增长。

相反,5G和AI技术的未来潜力,加上C-V2X技术的进步以及半自动驾驶和自动驾驶汽车的发展,预计将为汽车V2X市场的增长提供增长机会。

因此,Precedence Research预计,2022年全球汽车V2X市场规模达到24亿美元,到2032年将达到662.6亿美元,在2023年至2032年的预测期内以39.4%的复合年增长率增长。高增长率是由对汽车安全功能以及更好的交通管理系统的需求增加推动的。

图3:2022年至2032年全球汽车V2X市场发展预测(图源:Precedence Research)

汽车V2X市场的主要参与者包括Altran、Autotalks、大陆集团(Continental)、HARMAN国际、Infineon、NXP、Qualcomm、Bosch和STMicroelectronics等。

V2X发展趋势预判

实时监控

物联网传感器可以持续监测设备,并收集各种参数的数据,如温度、振动、压力等。这种实时监测允许早期检测异常或偏离正常操作情况的出现。

数据驱动的决策

改进的安全和风险管理是物联网预测性维护的一大优势。物联网设备收集的大量数据可以使用先进的分析技术进行处理和分析。通过将机器学习算法应用于这些数据,可以识别模式、趋势和潜在的故障特征,使得维护团队能够做出数据驱动的决策,并有效地确定维护活动的优先级。

V2X技术正在通过创建一个由车辆、基础设施、行人和网络组成的互联生态系统,将物联网连接扩展到道路,改变我们的出行方式。这个互联系统依靠实时数据交换来提高交通效率,增强安全性,并实现高级驾驶员辅助系统(ADAS)和自动驾驶。随着车辆变得更加复杂,部署了更多的路边基础设施设备,云、车辆和路边基础设施之间无缝通信和数据传输的需求变得越来越重要。因此,必须解决传输大量实时数据的挑战,以释放V2X和自动驾驶汽车的全部潜力。接下来,V2X将在以下两个方面取代较大进展:

一是汽车数字化特征成为引入和实施V2X系统的完美窗口。车辆的数字化使得越来越多的智能应用程序在新一代汽车中实现,曾经是机械奇迹的汽车现在演变成计算机的进化。例如,地图更新、实时交通信息、第三方导航应用程序和远程控制功能都需要后端连接。此外,软件定义车辆技术使得汽车制造商可以在汽车出厂后很长一段时间内对其进行改进,这些联网车辆还可以与外部基础设施进行通信,为安全可靠的V2X系统带来了更大的发展机会。

二是V2X与自动驾驶汽车将并行发展。过去五年在自动驾驶和辅助驾驶领域产生了巨大的创新。除了提高自动驾驶能力外,V2V技术系统的改进增加了车辆之间的通信,提高了态势感知能力。V2X可以在所有级别的车辆自动化中提高安全性和交通效率。尽管V2X和自动驾驶背后的技术不同,但它们的结合有助于实现操纵和传感等关键功能。V2V是V2X的一个子集,指的是车辆之间的速度、方向、位置和制动等参数的通信。V2I主要是指车辆与车辆周围基础设施之间的通信。最终,V2X将成为未来全自动驾驶汽车的重要组成部分。

结语

正如FCC前主席Pai在2020年重新分配DSRC频谱时谈到的,如今道路上只有极少数的车辆提供类似V2V和V2X的产品。美国的情况是基本上仍然停滞不前。欧洲是DSRC的主要推手。中国早期广泛接受C-V2X,加上政府的大力推动,V2V在中国已有实例,比如别克GL8,这是一款仅在中国上市的小型商务车,它提供了八种V2V和V2X功能,包括紧急制动警告、失控警告、车辆异常警告、交叉口碰撞警告、限速警告、信号违规警告、危险位置警告和绿灯车速引导等。

V2X发展商用之路受阻的原因有很多种,有安全和隐私问题、监管和法律问题、基础设施投资问题等,但最主要的挑战还是因为缺乏统一的通信标准。关于DSRC和C-V2X,二者之间究竟哪个技术应成为V2X或V2V的主流标准,目前仍存在争论。好在业界已经意识到,在这一观点上达成共识对于V2X的广泛采用和互操作性至关重要。

V2X将为车辆带来一个全新的能力水平,它们能够在智慧城市中与彼此、行人和周围的世界互动,通过网络相互通信其位置、速度和方向,从而提高道路安全性。从现有态势看,C-V2X很有可能成为未来V2X的主流技术。目前,C-V2X 已纳入第三代合作伙伴计划(3GPP)版本14,3GPP版本16和版本17将会带来更多功能,将进一步加强C-V2X的性能。V2X大规模普及的事情,看起来只能交给市场和时间了。

]]>
//www.cazqn.com/article/202312/453874.htm Wed, 13 Dec 2023 18:45:26 +0800
<![CDATA[ 凌华科技发布采用NVIDIA Jetson Orin模块的下一代边缘AI平台 ]]>

1702455577973031.jpg

重点摘要:  

1.DLAP 产品线是一系列紧凑的、经过SWaP 优化的、坚固的工业级AI推理平台。 

2.DLAP 系列旨在满足以AI为中心的应用需求,包括智能工厂中的自动光学检测 (AOI)、智能城市中的智能停车解决方案、智能零售中的自主操作以及农业和水产养殖等领域的实时土壤或水监测。 

3.最新的DLAP-411-Orin采用NVIDIA® Jetson AGX Orin™模块,相比前一代产品,可提供8倍的AI性能;全新的 DLAP-211-Orin 系列采用最新的 NVIDIA® Jetson Orin™ Nano 或 NX 模块。 

全球领先的边缘计算和AI解决方案提供商——凌华科技,日前宣布推出DLAP-211-Orin系列和DLAP-411-Orin工业级边缘AI平台。通过搭载Orin 模块,全新的 DLAP 平台性能得到了大幅提升,成为一款紧凑的、经过SWaP 优化的、强大的工业级解决方案,AI 推理性能与前几代产品相比,提高了 8 倍,达到了 275 TOPS。 这些先进的系统旨在提供卓越的性能和耐用性,重新定义了智慧城市、零售、安全、工厂和制造等各个领域的AI应用基准。 

凌华科技边缘计算平台事业部产品经理Nick Lin表示:“凌华科技DLAP-211-Orin和DLAP-411-Orin边缘AI平台集成了最先进的 NVIDIA® Jetson Orin™ 模块,随着新品的发布也正式确立了我们在AI边缘计算领域的主导地位。这些平台将成为AI的动力源,帮助凌华科技的客户快速部署并缩短上市时间。这些产品专为不同行业的AI应用量身定制,有望推动新时代的突破。” 

DLAP-211-Orin 系列和DLAP-411-Orin的主要特点: 

●   AI动力源:这些平台搭配了最新的 NVIDIA® Jetson Orin™ AI 模块化系统 (SoM),提供无与伦比的 AI 处理能力,支持数据密集型应用的实时决策。 

●   工业等级的弹性:DLAP 平台采用了无风扇的系统设计,可在恶劣的工业和嵌入式环境中稳定运行,即使出现温度变化、冲击和湿度等极端条件。 

●   丰富的应用领域:DLAP 平台专为AI应用而设计,可满足多种应用场景,从停车场的车牌识别到智能零售商店的购物行为实时分析。DLAP平台已在我们工厂成功部署,成为智能工厂应用的典范。例如,通过整合DLAP-411-Orin 与 NVIDIA Omniverse,可进行全面的六面产品外观检测。 

●   无缝集成传感器:全新的DLAP 平台为各种传感器提供丰富的连接接口,例如多个千兆PoE 接口、高速USB 3.2 接口和带外(OOB) 模块。DLAP 的设计考虑了与传感器和其他设备的直接连接,帮助全球的系统集成商缩短产品开发和上市的时间。 

●   强大的解决方案网络:DLAP 平台旨在与专为AI部署和高效远程电源管理而设计的各种软件开发套件 (SDK) 进行无缝的协作。凌华科技边缘视觉分析 (EVA) 和 EdgeGO 是独家的内部 SDK 工具,专为视觉 AI 模型训练和远程设备管理而设计。 

对于正在寻找高性能、坚固耐用的边缘计算解决方案的AI行业用户,凭借 DLAP-211-Orin 系列和 DLAP-411-Orin,凌华科技将继续成为其值得信赖的合作伙伴。

]]>

1702455577973031.jpg

重点摘要:  

1.DLAP 产品线是一系列紧凑的、经过SWaP 优化的、坚固的工业级AI推理平台。 

2.DLAP 系列旨在满足以AI为中心的应用需求,包括智能工厂中的自动光学检测 (AOI)、智能城市中的智能停车解决方案、智能零售中的自主操作以及农业和水产养殖等领域的实时土壤或水监测。 

3.最新的DLAP-411-Orin采用NVIDIA® Jetson AGX Orin™模块,相比前一代产品,可提供8倍的AI性能;全新的 DLAP-211-Orin 系列采用最新的 NVIDIA® Jetson Orin™ Nano 或 NX 模块。 

全球领先的边缘计算和AI解决方案提供商——凌华科技,日前宣布推出DLAP-211-Orin系列和DLAP-411-Orin工业级边缘AI平台。通过搭载Orin 模块,全新的 DLAP 平台性能得到了大幅提升,成为一款紧凑的、经过SWaP 优化的、强大的工业级解决方案,AI 推理性能与前几代产品相比,提高了 8 倍,达到了 275 TOPS。 这些先进的系统旨在提供卓越的性能和耐用性,重新定义了智慧城市、零售、安全、工厂和制造等各个领域的AI应用基准。 

凌华科技边缘计算平台事业部产品经理Nick Lin表示:“凌华科技DLAP-211-Orin和DLAP-411-Orin边缘AI平台集成了最先进的 NVIDIA® Jetson Orin™ 模块,随着新品的发布也正式确立了我们在AI边缘计算领域的主导地位。这些平台将成为AI的动力源,帮助凌华科技的客户快速部署并缩短上市时间。这些产品专为不同行业的AI应用量身定制,有望推动新时代的突破。” 

DLAP-211-Orin 系列和DLAP-411-Orin的主要特点: 

●   AI动力源:这些平台搭配了最新的 NVIDIA® Jetson Orin™ AI 模块化系统 (SoM),提供无与伦比的 AI 处理能力,支持数据密集型应用的实时决策。 

●   工业等级的弹性:DLAP 平台采用了无风扇的系统设计,可在恶劣的工业和嵌入式环境中稳定运行,即使出现温度变化、冲击和湿度等极端条件。 

●   丰富的应用领域:DLAP 平台专为AI应用而设计,可满足多种应用场景,从停车场的车牌识别到智能零售商店的购物行为实时分析。DLAP平台已在我们工厂成功部署,成为智能工厂应用的典范。例如,通过整合DLAP-411-Orin 与 NVIDIA Omniverse,可进行全面的六面产品外观检测。 

●   无缝集成传感器:全新的DLAP 平台为各种传感器提供丰富的连接接口,例如多个千兆PoE 接口、高速USB 3.2 接口和带外(OOB) 模块。DLAP 的设计考虑了与传感器和其他设备的直接连接,帮助全球的系统集成商缩短产品开发和上市的时间。 

●   强大的解决方案网络:DLAP 平台旨在与专为AI部署和高效远程电源管理而设计的各种软件开发套件 (SDK) 进行无缝的协作。凌华科技边缘视觉分析 (EVA) 和 EdgeGO 是独家的内部 SDK 工具,专为视觉 AI 模型训练和远程设备管理而设计。 

对于正在寻找高性能、坚固耐用的边缘计算解决方案的AI行业用户,凭借 DLAP-211-Orin 系列和 DLAP-411-Orin,凌华科技将继续成为其值得信赖的合作伙伴。

]]>
//www.cazqn.com/article/202312/453873.htm Wed, 13 Dec 2023 16:19:21 +0800
<![CDATA[ 风河持续保持边缘操作系统平台排名第一 ]]>

新闻亮点

-   VDC Research认定风河为边缘操作系统市场领导者。

-   风河在实时操作系统、商用嵌入式Linux和物联网嵌入式操作系统市场继续保持全球市场领先地位。

-   各行各业加速走向软件定义未来,风河边缘软件满足了关键任务智能系统不断发展的复杂需求。

全球领先的关键任务智能系统软件提供商风河公司,持续保持其在嵌入式实时操作系统(RTOS)市场和商用嵌入式Linux市场的领先地位,最新发布的VDC Research研究报告对此做出了认定。研究报告表明风河公司边缘操作系统平台在全球市场处于领导地位,包括VxWorks®、Wind River Helix™ Virtualization Platform和Wind River Linux。

风河公司首席产品官Avijit Sinha介绍说:“我们很高兴又一次在智能边缘软件市场独占鳌头。市场研究人士预测,未来几年绝大多数企业的数据都将在边缘进行处理,面向软件定义智能机器经济而设计的平台将满足越来越多的行业需求,特别是汽车、航空航天、工业自动化和电信。风河拥有独特的优势,可以整合多个领域的能力来帮助企业把握这些重要机遇——从云端到嵌入式系统,贯穿整个生命周期,并且推动企业转型进入新的商业模式。”

在VDC的最新研究报告《全球物联网&嵌入式操作系统市场》中, 风河公司被列为以下市场中的领导者:

*   在全球RTOS市场所占份额高达30.8%,第二名供应商市占率为17.0%

*   在全球商用嵌入式Linux市场所占份额高达44.0%,第二名供应商市占率为8.2%

*   在全球物联网和嵌入式操作系统市场中所占份额高达28.2%,第二名供应商市占率为14.1%

VDC Research执行副总裁Chris Rommel指出:“全新的软件开发和部署模式正在重塑各行各业工程团队的需求。在生态系统快速变化的时代,风河公司的产品创新能力使其在边缘软件市场的领导地位持续稳固,为客户开发以云计算为中心的应用系统注入了动力,同时满足了最严格的关键系统安全性需求。”

随着智能机器经济的发展,智能、互联、自主的机器与设备被融入生产、分销和运营等活动的各个环节,所有企业都在拥抱云原生、边缘和开源技术。风河的DNA就是实时性、可用性、功能安全性和信息安全性,这些都是当今软件定义世界中企业必不可少的关键要素。

风河公司近期屡获大奖,包括: DevOps解决方案获得2023年度Bronze Stevie大奖,新产品获得2022年度Grand Stevie American Business Award最高评级、Leading Lights 5G技术提供商奖和TMC 2022年度通信解决方案产品奖。

]]>

新闻亮点

-   VDC Research认定风河为边缘操作系统市场领导者。

-   风河在实时操作系统、商用嵌入式Linux和物联网嵌入式操作系统市场继续保持全球市场领先地位。

-   各行各业加速走向软件定义未来,风河边缘软件满足了关键任务智能系统不断发展的复杂需求。

全球领先的关键任务智能系统软件提供商风河公司,持续保持其在嵌入式实时操作系统(RTOS)市场和商用嵌入式Linux市场的领先地位,最新发布的VDC Research研究报告对此做出了认定。研究报告表明风河公司边缘操作系统平台在全球市场处于领导地位,包括VxWorks®、Wind River Helix™ Virtualization Platform和Wind River Linux。

风河公司首席产品官Avijit Sinha介绍说:“我们很高兴又一次在智能边缘软件市场独占鳌头。市场研究人士预测,未来几年绝大多数企业的数据都将在边缘进行处理,面向软件定义智能机器经济而设计的平台将满足越来越多的行业需求,特别是汽车、航空航天、工业自动化和电信。风河拥有独特的优势,可以整合多个领域的能力来帮助企业把握这些重要机遇——从云端到嵌入式系统,贯穿整个生命周期,并且推动企业转型进入新的商业模式。”

在VDC的最新研究报告《全球物联网&嵌入式操作系统市场》中, 风河公司被列为以下市场中的领导者:

*   在全球RTOS市场所占份额高达30.8%,第二名供应商市占率为17.0%

*   在全球商用嵌入式Linux市场所占份额高达44.0%,第二名供应商市占率为8.2%

*   在全球物联网和嵌入式操作系统市场中所占份额高达28.2%,第二名供应商市占率为14.1%

VDC Research执行副总裁Chris Rommel指出:“全新的软件开发和部署模式正在重塑各行各业工程团队的需求。在生态系统快速变化的时代,风河公司的产品创新能力使其在边缘软件市场的领导地位持续稳固,为客户开发以云计算为中心的应用系统注入了动力,同时满足了最严格的关键系统安全性需求。”

随着智能机器经济的发展,智能、互联、自主的机器与设备被融入生产、分销和运营等活动的各个环节,所有企业都在拥抱云原生、边缘和开源技术。风河的DNA就是实时性、可用性、功能安全性和信息安全性,这些都是当今软件定义世界中企业必不可少的关键要素。

风河公司近期屡获大奖,包括: DevOps解决方案获得2023年度Bronze Stevie大奖,新产品获得2022年度Grand Stevie American Business Award最高评级、Leading Lights 5G技术提供商奖和TMC 2022年度通信解决方案产品奖。

]]>
//www.cazqn.com/article/202312/453871.htm Wed, 13 Dec 2023 16:14:04 +0800
<![CDATA[ Melexis推出新款微型3D磁力计,拓展性能极限 ]]> 全球微电子工程公司Melexis近日宣布,推出Triaxis®微功耗磁力计MLX90394。这是一款基于霍尔效应的微型传感器,其完美的实现低噪音、微电流消耗和成本之间的平衡。该产品具有即时可选模式和先进的可配置性,可实现出色的可复用性并加快产品上市。这款非接触式解决方案适用于游戏和工业外围设备中的旋转、线性和3D操纵杆控制。

MLX90394可代替传统机械解决方案,提供更简单、灵活且高可靠性的解决方案,并克服传统电位计固有的问题,例如电位计游标表面污染和封装限制。该磁力计功能多样,可在线性、旋转、操纵杆和按钮操作中进行部署,取代大量现有零组件,从而简化供应链,实现较高的经济效益。此外,该产品具备高灵活性(可在未来进行配置更改),采用非接触式设计,使其工作寿命比电位计和接触式开关更长。MLX90394还采用超薄小型设计(2×1.5×0.4mm),有助于打造更时尚的HMI。

1702454983204565.jpg

与市场现有解决方案相比,MLX90394的架构具有多项优势。该设计可单独测量和输出每个磁轴,并配有内置温度传感器,通过可选择的温度测量来提供额外的数字信号处理(DSP)补偿。温度和位置的数据输出均是16位分辨率,I2C接口引脚支持0.1MHz、0.4MHz和1.0MHz模式。该产品内部有两个I2C地址,可以通过改变电气引脚连接来进行选择。

该产品以Melexis独特的Triaxis®技术作为核心技术,这项创新技术有助于在微功耗运行下提供低噪声(<9μT)的独立3轴测量。在10Hz单次测量模式下,X轴或Y轴向测量的平均电流消耗仅为4μA,Z轴向测量的平均电流消耗仅为5μA。而在微控制器处于深度睡眠模式且消耗很少的情况下,芯片的消耗电流<10μA,为降低系统功耗提供帮助。变化时唤醒(WOC)功能可通过脉冲或锁存硬件引脚中断(XYZ)快速恢复运行。WOC阈值可以配置为针对初始测量(静态增量)、针对先前测量(动态增量)或预定义测量(绝对)。因此,在高运动应用和缓慢漂移运动应用中,该产品能够准确地从断电模式转换到激活模式。

MLX90394产品图.jpg

嵌入式设计可实现轻松集成并准确跟踪旋转的操纵杆运动和按压/滑动按钮应用。该产品提供两种紧凑的UTDFN-6(LD)封装设计,支持不同的测量范围:±5mT(0.15μT/LSB)和±50mT(1.5μT/LSB)。其所有型号均经过RoHS认证,适合在-40℃至105℃的环境温度范围下工作,电源电压范围在1.7V至3.6V之间,非常适合大多数嵌入式和物联网电子环境。

“MLX90394极大的价值和出色的性能,可满足非常广泛的应用需求。无论工程师是在开发计算机外围设备、工业气缸还是智能电器控制装置,这款经过优化且十分灵活的芯片都将为客户带来现有电位计、机械开关和滑块方案无法实现的长期性能。”Melexis产品经理Minko Daskalov评论,“其紧凑的封装和出众的效率,可以提升设计的自由度和集成程度,为客户实现全新的创新解决方案。”

]]>
全球微电子工程公司Melexis近日宣布,推出Triaxis®微功耗磁力计MLX90394。这是一款基于霍尔效应的微型传感器,其完美的实现低噪音、微电流消耗和成本之间的平衡。该产品具有即时可选模式和先进的可配置性,可实现出色的可复用性并加快产品上市。这款非接触式解决方案适用于游戏和工业外围设备中的旋转、线性和3D操纵杆控制。

MLX90394可代替传统机械解决方案,提供更简单、灵活且高可靠性的解决方案,并克服传统电位计固有的问题,例如电位计游标表面污染和封装限制。该磁力计功能多样,可在线性、旋转、操纵杆和按钮操作中进行部署,取代大量现有零组件,从而简化供应链,实现较高的经济效益。此外,该产品具备高灵活性(可在未来进行配置更改),采用非接触式设计,使其工作寿命比电位计和接触式开关更长。MLX90394还采用超薄小型设计(2×1.5×0.4mm),有助于打造更时尚的HMI。

1702454983204565.jpg

与市场现有解决方案相比,MLX90394的架构具有多项优势。该设计可单独测量和输出每个磁轴,并配有内置温度传感器,通过可选择的温度测量来提供额外的数字信号处理(DSP)补偿。温度和位置的数据输出均是16位分辨率,I2C接口引脚支持0.1MHz、0.4MHz和1.0MHz模式。该产品内部有两个I2C地址,可以通过改变电气引脚连接来进行选择。

该产品以Melexis独特的Triaxis®技术作为核心技术,这项创新技术有助于在微功耗运行下提供低噪声(<9μT)的独立3轴测量。在10Hz单次测量模式下,X轴或Y轴向测量的平均电流消耗仅为4μA,Z轴向测量的平均电流消耗仅为5μA。而在微控制器处于深度睡眠模式且消耗很少的情况下,芯片的消耗电流<10μA,为降低系统功耗提供帮助。变化时唤醒(WOC)功能可通过脉冲或锁存硬件引脚中断(XYZ)快速恢复运行。WOC阈值可以配置为针对初始测量(静态增量)、针对先前测量(动态增量)或预定义测量(绝对)。因此,在高运动应用和缓慢漂移运动应用中,该产品能够准确地从断电模式转换到激活模式。

MLX90394产品图.jpg

嵌入式设计可实现轻松集成并准确跟踪旋转的操纵杆运动和按压/滑动按钮应用。该产品提供两种紧凑的UTDFN-6(LD)封装设计,支持不同的测量范围:±5mT(0.15μT/LSB)和±50mT(1.5μT/LSB)。其所有型号均经过RoHS认证,适合在-40℃至105℃的环境温度范围下工作,电源电压范围在1.7V至3.6V之间,非常适合大多数嵌入式和物联网电子环境。

“MLX90394极大的价值和出色的性能,可满足非常广泛的应用需求。无论工程师是在开发计算机外围设备、工业气缸还是智能电器控制装置,这款经过优化且十分灵活的芯片都将为客户带来现有电位计、机械开关和滑块方案无法实现的长期性能。”Melexis产品经理Minko Daskalov评论,“其紧凑的封装和出众的效率,可以提升设计的自由度和集成程度,为客户实现全新的创新解决方案。”

]]>
//www.cazqn.com/article/202312/453870.htm Wed, 13 Dec 2023 16:09:21 +0800
<![CDATA[ 苹果开放与竞争对手共享NFC系统 ]]>

据报道,苹果向第三方开发人员提供了对其移动支付系统的访问权限,以帮助其避免欧盟委员会(EC)的巨额罚款,该委员会指责该公司减少了竞争对手对其技术的访问。

路透社援引知情人士的话报道称,这家iPhone制造商已提出向移动钱包开发人员开放其NFC系统,允许他们将该技术整合到他们的应用程序中,让客户可以自由地在iOS设备上使用Apple Pay之外的非接触式支付。

消息人士称,EC现在将收集竞争对手和最终用户的反馈,然后再决定苹果的报价。

欧洲监管机构在 2022 年 5 月概述了一项初步观点,即苹果滥用其立场,限制了 iOS 设备上的竞争对手移动钱包,并正在对可能违反欧盟竞争法的行为进行调查。

欧盟委员会预计将在明年做出决定,如果被判有罪,苹果将被处以相当于其全球年营业额10%的罚款。

苹果的做法还引发了金融集团Affinity Credit Union的集体诉讼。

]]>

据报道,苹果向第三方开发人员提供了对其移动支付系统的访问权限,以帮助其避免欧盟委员会(EC)的巨额罚款,该委员会指责该公司减少了竞争对手对其技术的访问。

路透社援引知情人士的话报道称,这家iPhone制造商已提出向移动钱包开发人员开放其NFC系统,允许他们将该技术整合到他们的应用程序中,让客户可以自由地在iOS设备上使用Apple Pay之外的非接触式支付。

消息人士称,EC现在将收集竞争对手和最终用户的反馈,然后再决定苹果的报价。

欧洲监管机构在 2022 年 5 月概述了一项初步观点,即苹果滥用其立场,限制了 iOS 设备上的竞争对手移动钱包,并正在对可能违反欧盟竞争法的行为进行调查。

欧盟委员会预计将在明年做出决定,如果被判有罪,苹果将被处以相当于其全球年营业额10%的罚款。

苹果的做法还引发了金融集团Affinity Credit Union的集体诉讼。

]]>
//www.cazqn.com/article/202312/453869.htm Wed, 13 Dec 2023 16:07:14 +0800
<![CDATA[ 意法半导体推出NanoEdge AI免费部署服务,打破边缘人工智能应用障碍 ]]> 人工智能的承诺。该公司宣布,使用其旗舰设计工具NanoEdge AI Studio构建的软件库现在不再收取部署费,可以免费无限量部署在任何STM32微控制器上。

由于NanoEdge AI Studio支持所有的基于Arm®Cortex®-M的微控制器(MCU),客户现在也可以按照特殊许可协议在其他品牌的Arm Cortex-M微控制器上构建和部署高效的机器学习库,包括独特的设备上学习。

这些举措证明,ST正在兑现加快边缘人工智能解决方案的应用,让更多个人和组织从中受益的承诺。

意法半导体微控制器和数字IC产品部总裁Remi El Ouazzane表示:“我们的目标是确保开发者能够轻松、快速地构建准确、高效的人工智能算法,以经济的方式将算法部署在资源受限的边缘计算设备上。今天,我们又迈出了一大步,允许任何Arm Cortex-M生态系统中的开发人员构建和部署软件库,不再有部署数量限制,他们和他们的用户免费使用,我们相信这将进一步激发应用创新。”

NanoEdge AI Studio能够简化并加快机器学习(ML)算法的开发,使用高级回归算法加快异常检测、相似性识别、分类和预测过程。该工具在业内屡获殊荣,能够创建代码量非常小的可以直接在设备上学习的机器学习库,从而在复杂环境中实现预测性维护。

NanoEdge™ AI Studio升级后的主要特性

作为人工智能研发社区的主要参与者,意法半导体已经向所有 STM32 以及嵌入式世界的其他领域开放了 NanoEdge AI Studio 的使用权限,这个软件原生支持市场上大多数基于 Arm Cortex-M 的 MCU。因此,在项目创建过程中,用户可以选用140多个 STM32 开发板,并可以选用其他MCU厂商的 1,000 多个生产级Arm Cortex-M MCU。

意法半导体还升级了NanoEdge AI Studio软件,引入了全新的用户体验,增加了逐步操作指引助手,帮助用户无缝完成项目开发的每个阶段。最新版本 V4.3 包含先进的验证工具,有助于最终生成的算法达到生产级质量。

NanoEdge AI Studio 现在可以在预选目标上估计 机器学习模型的执行时间,精度达到 98% ,测丰富模型报告内容,并帮助用户在模型选择时做出知情决策。

]]>
人工智能的承诺。该公司宣布,使用其旗舰设计工具NanoEdge AI Studio构建的软件库现在不再收取部署费,可以免费无限量部署在任何STM32微控制器上。

由于NanoEdge AI Studio支持所有的基于Arm®Cortex®-M的微控制器(MCU),客户现在也可以按照特殊许可协议在其他品牌的Arm Cortex-M微控制器上构建和部署高效的机器学习库,包括独特的设备上学习。

这些举措证明,ST正在兑现加快边缘人工智能解决方案的应用,让更多个人和组织从中受益的承诺。

意法半导体微控制器和数字IC产品部总裁Remi El Ouazzane表示:“我们的目标是确保开发者能够轻松、快速地构建准确、高效的人工智能算法,以经济的方式将算法部署在资源受限的边缘计算设备上。今天,我们又迈出了一大步,允许任何Arm Cortex-M生态系统中的开发人员构建和部署软件库,不再有部署数量限制,他们和他们的用户免费使用,我们相信这将进一步激发应用创新。”

NanoEdge AI Studio能够简化并加快机器学习(ML)算法的开发,使用高级回归算法加快异常检测、相似性识别、分类和预测过程。该工具在业内屡获殊荣,能够创建代码量非常小的可以直接在设备上学习的机器学习库,从而在复杂环境中实现预测性维护。

NanoEdge™ AI Studio升级后的主要特性

作为人工智能研发社区的主要参与者,意法半导体已经向所有 STM32 以及嵌入式世界的其他领域开放了 NanoEdge AI Studio 的使用权限,这个软件原生支持市场上大多数基于 Arm Cortex-M 的 MCU。因此,在项目创建过程中,用户可以选用140多个 STM32 开发板,并可以选用其他MCU厂商的 1,000 多个生产级Arm Cortex-M MCU。

意法半导体还升级了NanoEdge AI Studio软件,引入了全新的用户体验,增加了逐步操作指引助手,帮助用户无缝完成项目开发的每个阶段。最新版本 V4.3 包含先进的验证工具,有助于最终生成的算法达到生产级质量。

NanoEdge AI Studio 现在可以在预选目标上估计 机器学习模型的执行时间,精度达到 98% ,测丰富模型报告内容,并帮助用户在模型选择时做出知情决策。

]]>
//www.cazqn.com/article/202312/453868.htm Wed, 13 Dec 2023 16:05:54 +0800
<![CDATA[ Wi-Fi HaLow:提高校园应用的安全性、效率和连接性 ]]> 世界各地的教育机构越来越依赖强大的无线网络来提高学术追求、安全措施和运营效率。校园环境是由相互连接的建筑物和在穿梭其中的人员组成的复杂网络,这就需要创新技术来满足不同类型的需求。这一挑战的解决方案在于一种新的无线协议,该协议非常适合教育园区的远距离、低功耗连接要求,这就是Wi-Fi CERTIFIED HaLow。

Wi-Fi HaLow:打破连接界限

Wi-Fi HaLow是Wi-Fi联盟2021年11月发布的一项新的Wi-Fi认证,采用IEEE 802.11ah标准,针对物联网(IoT)应用的独特要求进行了优化。Wi-Fi HaLow集远距离连接、低功耗、先进的 Wi-Fi CERTIFIED WPA3 安全功能以及单个接入点连接 8000 多台设备等功能于一身,带来了校园环境无线网络连接性的变革。Wi-Fi HaLow提供一种可靠且稳健的无线解决方案,可以克服校园应用中限制2.4GHz、5GHz和6GHz 频段传统Wi-Fi有效性的范围和速度间的权衡。

访问控制:稳健且安全的连接性

Wi-Fi HaLow 协议在sub-GHz 频率下运行,具有无与伦比的物理穿透能力和先进的安全功能,是支持校园门禁系统的理想技术。学生证系统、胸卡扫描仪、摄像头和宿舍门锁可以在难以到达的位置安全高效地运行,为校园社区提供互联互通的安全环境。

安防:通过提高连接性增强安全性

Wi-Fi HaLow 的低功耗和远距离连接特性,可在加强校园安防系统方面发挥重要作用。安防人员使用的随身摄像头即使在很远的距离也能与巡逻车上的无线局域网 (WLAN) 或移动路由器保持连接,从而减少对蜂窝数据的消耗。Wi-Fi HaLow 可帮助开发强大的无线网络,为校园范围内的双向视频对讲机提供可靠支持,从而提高响应速度、提升安全措施。

户外设备自动化:通过提高连接性获得效率

户外设备自动化,如灌溉系统、智能照明和机器人割草机,可以利用Wi-Fi HaLow的高能效、远距离和广覆盖等特性。利用这种高效的无线连接实现这些系统的自动化,可显著节约能源、提高生产率并降低运营成本。

卓越的速度和连接范围

Wi-Fi HaLow网络打破了传统无线通信在速度和范围之间的权衡。采用64QA调制的单流8MHz设备在sub-GHz 频率下的传输速度可达每秒32兆比特,可在大屏幕上进行实时流媒体传输、连接多个实时AI边缘摄像头以及数千台低功耗物联网设备,所有这些连接都不会影响传输范围。Wi-Fi HaLow 信号的传输距离可达 1 公里以上,是2.4GHz、5GH和 6GHz频段传统形式Wi-Fi的10倍,能够连接校园环境中的远距离设备和系统。

为校园互联互通树立新标准

Wi-Fi HaLow 集远距离、高能效、高安全性和高密度网络容量于一身,为校园网络无线通信树立了新标准。摩尔斯微电子的下一代Wi-Fi HaLow解决方案的传输距离是传统Wi-Fi网络的10倍,覆盖面积是传统Wi-Fi网络的100倍,这使得 Wi-Fi HaLow成为校园环境中物联网网络的理想协议。

通过满足现代校园的连接需求,Wi-Fi HaLow为未来的校园应用铺平了道路。当我们在数字时代遨游时,Wi-Fi HaLow提供了引人注目的解决方案,极大增强了连接性、安全性和效率。无线功能的飞跃不仅仅是一种增量,也代表着人类向更智能、更互联的未来迈出了革命性的一步。

各大院校可以利用Wi-Fi HaLow创建更安全、更高效、更互联的校园环境。Wi-Fi HaLow通过提供强大、可靠、广泛的无线网络,不断满足各地校园的各种连接需求。

]]>
世界各地的教育机构越来越依赖强大的无线网络来提高学术追求、安全措施和运营效率。校园环境是由相互连接的建筑物和在穿梭其中的人员组成的复杂网络,这就需要创新技术来满足不同类型的需求。这一挑战的解决方案在于一种新的无线协议,该协议非常适合教育园区的远距离、低功耗连接要求,这就是Wi-Fi CERTIFIED HaLow。

Wi-Fi HaLow:打破连接界限

Wi-Fi HaLow是Wi-Fi联盟2021年11月发布的一项新的Wi-Fi认证,采用IEEE 802.11ah标准,针对物联网(IoT)应用的独特要求进行了优化。Wi-Fi HaLow集远距离连接、低功耗、先进的 Wi-Fi CERTIFIED WPA3 安全功能以及单个接入点连接 8000 多台设备等功能于一身,带来了校园环境无线网络连接性的变革。Wi-Fi HaLow提供一种可靠且稳健的无线解决方案,可以克服校园应用中限制2.4GHz、5GHz和6GHz 频段传统Wi-Fi有效性的范围和速度间的权衡。

访问控制:稳健且安全的连接性

Wi-Fi HaLow 协议在sub-GHz 频率下运行,具有无与伦比的物理穿透能力和先进的安全功能,是支持校园门禁系统的理想技术。学生证系统、胸卡扫描仪、摄像头和宿舍门锁可以在难以到达的位置安全高效地运行,为校园社区提供互联互通的安全环境。

安防:通过提高连接性增强安全性

Wi-Fi HaLow 的低功耗和远距离连接特性,可在加强校园安防系统方面发挥重要作用。安防人员使用的随身摄像头即使在很远的距离也能与巡逻车上的无线局域网 (WLAN) 或移动路由器保持连接,从而减少对蜂窝数据的消耗。Wi-Fi HaLow 可帮助开发强大的无线网络,为校园范围内的双向视频对讲机提供可靠支持,从而提高响应速度、提升安全措施。

户外设备自动化:通过提高连接性获得效率

户外设备自动化,如灌溉系统、智能照明和机器人割草机,可以利用Wi-Fi HaLow的高能效、远距离和广覆盖等特性。利用这种高效的无线连接实现这些系统的自动化,可显著节约能源、提高生产率并降低运营成本。

卓越的速度和连接范围

Wi-Fi HaLow网络打破了传统无线通信在速度和范围之间的权衡。采用64QA调制的单流8MHz设备在sub-GHz 频率下的传输速度可达每秒32兆比特,可在大屏幕上进行实时流媒体传输、连接多个实时AI边缘摄像头以及数千台低功耗物联网设备,所有这些连接都不会影响传输范围。Wi-Fi HaLow 信号的传输距离可达 1 公里以上,是2.4GHz、5GH和 6GHz频段传统形式Wi-Fi的10倍,能够连接校园环境中的远距离设备和系统。

为校园互联互通树立新标准

Wi-Fi HaLow 集远距离、高能效、高安全性和高密度网络容量于一身,为校园网络无线通信树立了新标准。摩尔斯微电子的下一代Wi-Fi HaLow解决方案的传输距离是传统Wi-Fi网络的10倍,覆盖面积是传统Wi-Fi网络的100倍,这使得 Wi-Fi HaLow成为校园环境中物联网网络的理想协议。

通过满足现代校园的连接需求,Wi-Fi HaLow为未来的校园应用铺平了道路。当我们在数字时代遨游时,Wi-Fi HaLow提供了引人注目的解决方案,极大增强了连接性、安全性和效率。无线功能的飞跃不仅仅是一种增量,也代表着人类向更智能、更互联的未来迈出了革命性的一步。

各大院校可以利用Wi-Fi HaLow创建更安全、更高效、更互联的校园环境。Wi-Fi HaLow通过提供强大、可靠、广泛的无线网络,不断满足各地校园的各种连接需求。

]]>
//www.cazqn.com/article/202312/453865.htm Wed, 13 Dec 2023 16:00:02 +0800
<![CDATA[ Power Integrations推出具有快速短路保护功能且适配62mm SiC和IGBT模块的门极驱动器 ]]> 深耕于中高压逆变器应用门极驱动器技术领域的知名公司Power Integrations(纳斯达克股票代号:POWI)今日推出全新系列的即插即用型门极驱动器,新驱动器适配额定耐压在1700V以内的62mm碳化硅(SiC) MOSFET模块和硅IGBT模块,具有增强的保护功能,可确保安全可靠的工作。SCALE™-2 2SP0230T2x0双通道门极驱动器可在不到2微秒的时间内部署短路保护功能,保护紧凑型SiC MOSFET免受过电流的损坏。新驱动器还具有高级有源钳位(AAC)功能,可保护开关在关断期间免受过压影响,从而实现更高的直流母线工作电压。

Power Integrations产品营销经理Thorsten Schmidt表示:“2SP0230T2x0门极驱动器具有非常高的设计灵活性;相同的硬件可用于驱动SiC MOSFET或IGBT模块。这减少了系统设计和采购方面的挑战,即插即用的方法也加快了开发速度。”

1702450290169204.png

2SP0230T2x0门极驱动器基于Power Integrations成熟的SCALE-2技术,集成度更高、尺寸更小、功能更强、系统可靠性更高,是轨道交通辅助变换器、电动汽车非车载型充电装置和电网静止同步补偿器(STATCOM)稳压器等应用的理想之选。Power Integrations的紧凑型2SP0230T2x0外形尺寸为134x62mm,可提供1700V加强绝缘,可驱动耐压在1700V以内的功率模块;这比通常限制在1200V的传统驱动器高出500V。 

供货情况

SCALE-2 2SP0230T2x0即插即用型门极驱动器现已开始提供样品。有关价格信息,请与您当地的销售代表联系。

]]>
深耕于中高压逆变器应用门极驱动器技术领域的知名公司Power Integrations(纳斯达克股票代号:POWI)今日推出全新系列的即插即用型门极驱动器,新驱动器适配额定耐压在1700V以内的62mm碳化硅(SiC) MOSFET模块和硅IGBT模块,具有增强的保护功能,可确保安全可靠的工作。SCALE™-2 2SP0230T2x0双通道门极驱动器可在不到2微秒的时间内部署短路保护功能,保护紧凑型SiC MOSFET免受过电流的损坏。新驱动器还具有高级有源钳位(AAC)功能,可保护开关在关断期间免受过压影响,从而实现更高的直流母线工作电压。

Power Integrations产品营销经理Thorsten Schmidt表示:“2SP0230T2x0门极驱动器具有非常高的设计灵活性;相同的硬件可用于驱动SiC MOSFET或IGBT模块。这减少了系统设计和采购方面的挑战,即插即用的方法也加快了开发速度。”

1702450290169204.png

2SP0230T2x0门极驱动器基于Power Integrations成熟的SCALE-2技术,集成度更高、尺寸更小、功能更强、系统可靠性更高,是轨道交通辅助变换器、电动汽车非车载型充电装置和电网静止同步补偿器(STATCOM)稳压器等应用的理想之选。Power Integrations的紧凑型2SP0230T2x0外形尺寸为134x62mm,可提供1700V加强绝缘,可驱动耐压在1700V以内的功率模块;这比通常限制在1200V的传统驱动器高出500V。 

供货情况

SCALE-2 2SP0230T2x0即插即用型门极驱动器现已开始提供样品。有关价格信息,请与您当地的销售代表联系。

]]>
//www.cazqn.com/article/202312/453864.htm Wed, 13 Dec 2023 14:50:27 +0800
<![CDATA[ 英伟达20多年历程中,排名前五的GPU ]]> 最好的游戏 GPU 来自绿色团队。

英伟达成立于 1993 年,很少有失败的经历。它迫使许多竞争对手破产并退出市场,这在很大程度上要归功于高质量的产品。它生产许多最好的显卡,并且一直是支持深度学习和人工智能的硬件的主要推动者。尽管如此,它仍然存在一些缺点,例如为其最新卡提供动力的高价 RTX40 系列 GPU,以及一些值得怀疑的功能(例如帧生成)。

回顾英伟达令人兴奋的过去,自 GeForce 品牌成立 24 年来,英伟达制造了如此之多的优秀 GPU,其中大多数能够与 AMD 的最佳 GPU 正面交锋。我们认为,以下是英伟达有史以来最好的五款游戏 GPU,从下到上,并考虑了单个卡和整个系列。

第五名:GeForce RTX 3060(12GB)

从纸面上看,RTX 30 系列在 2020 年听起来相当不错。它采用了更充实的架构,改进了光线追踪和张量核心,提供了更多的原始性能,甚至恢复了有吸引力的定价结构。实际上,零售定价远未达到应有的水平。就这一点而言,很难找到接近建议零售价的 RTX 30 GPU。

尽管如此,在 2020 年底推出 30 系列之后的几个月里,英伟达继续在产品阵容中添加新型号,不断提升性能。中端尤为重要,因为之前的 RTX 20 系列及其 RTX 2060 和 2060Super 并不是 GTX1060 的令人惊叹的后续产品。考虑到任何人都会在 2021 年购买带有脉冲的 GPU,英伟达并没有真正做到这一点。英伟达真的不需要在新 GPU 上花太多精力,但它的 RTX 3060 让所有人都大吃一惊。

Nvidia 并不总是固定其中端产品,但在 RTX 3060 是一个很好的例外。它在性能上比 RTX 2060 有了显著提升,最引人注目的是 VRAM 增加了一倍,达到 12GB。这甚至比 10GB 的常规 RTX 3080 还要多(尽管有 3080 12GB 并没有真正可用)。对于英伟达来说,如此大的改进是相当了不起的。(我们还应该注意,我们在本次讨论中不包括 gimped RTX 3060 8GB。)

更值得注意的是,AMD 的中端卡竞争产品通常非常强大。RX6600 和 6600XT 拥有不错的马力,但只有 8GB 的显存,不得不依靠较差的 FSR1.0 升级而不是 DLSS。AMD 与其他 30 系列的显卡竞争相当激烈,而且通常具有 VRAM 容量优势,但 Navi23 显卡是例外。

当然,GPU 短缺是一个问题,RTX 3060 也未能幸免。即使是 Nvidia 第一次「自主」尝试锁定以太坊采矿。最终,短缺问题在 2022 年得到缓解,这使得 3060 成为最实惠的 GPU 之一。3060 从未完全达到 329 美元的建议零售价,而 6600 和 6600XT 都跌破了 300 美元。此外,FSR2.0 提供了质量和性能改进,使其与 DLSS 相比更具竞争力,从而进一步削弱了 3060 的优势。

尽管如此,RTX 3060 仍然是英伟达有史以来最好的中端 GPU 之一。除了价格和可用性问题之外,这是一张出色的显卡,无疑可以与 AMD 竞争。它在 30 系列的其余产品中也是独一无二的,遗憾的是 RTX4060 放弃了大部分进步。

第四名:GeForce GTX 680

英伟达很少犯严重的错误,但其中最严重的错误之一就是 Fermi 架构。Fermi 于 2010 年中期首次出现在 GTX 480 中,但它并不是英伟达所需要的,因为它仅比 200 系列提供适度的性能提升,同时消耗大量电量。情况如此糟糕,以至于 Nvidia 在 2010 年底之前匆忙推出了 Fermi 的第二个版本和 GTX500 系列,值得庆幸的是,这带来了更高效的产品。

Fermi 无疑让英伟达进行了一些反省,该公司重新思考了其传统策略。在 2000 年代的大部分时间里,英伟达在节点方面落后于 Radeon(首先由 ATI 拥有,然后由 AMD 拥有)。虽然较新的节点提供了更好的效率、性能和密度,但它们的使用成本也更高,而且经常会出现「初期的痛苦」。到 2000 年代中期,英伟达的主要策略是在较旧的节点上制造大型 GPU,这通常足以将 GeForce 置于首位。

Fermi 的经历对英伟达造成了巨大的创伤,以至于该公司决定在 2012 年初与 AMD 一起进入 28nm 节点。Kepler 是英伟达的首款 28nm GPU,它是一种与 Fermi 和之前的英伟达架构不同的芯片。它采用了最新的工艺,最大版本相对精简,不到 300mm2,并且效率很高。2012 年,英伟达和 AMD 旗舰产品之间的竞争将截然不同。

尽管 AMD 凭借 HD 7970 打响了第一枪,但英伟达在三个月后凭借 Kepler 驱动的 GTX 680 发起反击。680 不仅比 7970 更快,而且效率更高、体积更小,这正是 AMD HD 4000 和 5000 系列 GPU 的擅长领域。诚然,英伟达在这些指标上仅以微弱优势领先,但英伟达在这三个指标上都领先的情况很少见,甚至可能是史无前例的。

随着 HD 7970GHz 版本和性能更好的 AMD 驱动程序的到来,英伟达并没有长时间保持性能桂冠,但英伟达在功率效率和面积效率方面仍然保持着优势。Kepler 继续给 AMD 带来麻烦,因为第二次修订版为 GTX700 系列提供动力,并迫使推出非常热门且耗电的 RadeonR9290X。诚然,R9290X 确实击败了 GTX780,但它非常像 Femi,无论如何,GTX780Ti 夺回了桂冠。

第三名:GeForce GTX 980

从 2000 年代初现代英伟达与 AMD/ATI 竞争的出现到 2010 年代初,GeForce 和 Radeon 都在一代又一代地交锋。当然,大部分时间是英伟达赢得了王位,但通常 ATI(以及后来的 AMD)也紧随其后;唯一一次,一方被完全击败的情况实际上是 ATI 的 Radeon 9700 Pro 击败了英伟达的 GeForce 4 Ti 4600。然而,Nvidia 有几次非常接近于复制这种场景。

到 2010 年代中期,英伟达的前景一定是好的。世界各地的半导体代工厂在超越 28 纳米节点时都遇到了严重问题,其中包括英伟达和 AMD 的 GPU 制造合作伙伴台积电 (TSMC)。这意味着,英伟达可以适应其在旧节点上制造大型 GPU 的旧策略,而不必担心 AMD 用全新节点进行反击。此外,由于 AMD 面临破产的风险,它实际上没有资源与财力雄厚的英伟达竞争。

这两个因素同时发生,造就了一场完美的风暴。英伟达在 GTX 600 和 700 系列的 Kepler 架构方面已经做了非常值得尊敬的工作,但 GTX 900 系列(和 GTX 750Ti)的全新 Maxwell 架构却是另一回事。它从老化的 28 纳米节点中挤出了更多的性能、功效和密度。

旗舰 GTX 980 击败了 AMD 的 R9 290X 和英伟达自己的上一代 GTX 780Ti。GTX980 更快、更高效、更小,但与 680 不同,980 在这些方面绝对领先。980 的效率几乎是 290X 的两倍,性能提高了约 15% 左右,并且芯片面积减少了近 40mm2。与 780Ti 相比,980 的效率提高了近 40%,速度提高了约 10%,并且芯片尺寸缩小了 160mm2 以上。

这虽然不是在 Radeon 9700Pro 水平上的胜利,但仍然是巨大的胜利。这基本上与 AMD 对英伟达的 HD 5870 所做的事情是一样的。只不过,AMD 没有用糟糕的 GPU 来回应 Nvidia,而是没有什么可以反击的。AMD 在 2014 年所能做的就是紧紧抓住其老化的 Radeon200 系列。

2015 年,AMD 再次竭尽全力参与竞争,但仅限于高端领域。它决定从低端到中高端将 Radeon 200 系列更新为 Radeon 300 系列,然后在高端使用其全新的 Fury 系列。然而,英伟达拥有更大的 Maxwell GPU,随时准备击败 AMD 满怀希望的 R9 Fury X,而 GTX 980 Ti 正是做到了这一点。凭借 6GB 内存,980Ti 成为了比配备 4GB 内存的 FuryX 更明显的选择(否则这实际上是一张不错的卡)。

尽管英伟达取得了巨大的胜利,GTX900 系列却永久地改变了游戏显卡的格局。Fury X 是 AMD 最后一款有竞争力的旗舰产品,直到 2020 年 RX6900 XT 推出,这很大程度上是因为 AMD 停止了每一代产品的生产。AMD 又重新开始定期生产旗舰 GPU,但 Maxwell 确实对 Radeon 造成了重创,并且多年来都没有恢复过来。

第二名:GeForce 8800 GTX

2000 年代初期,随着英伟达和 ATI 在关键领域取得进展,现代显卡出现了。英伟达的 GeForce 256 引入了硬件加速变换和照明视觉效果,而 ATI 的 Radeon 9700 Pro 则表明 GPU 应该包含更多的计算硬件,并且可能非常大。当 2002 年英伟达在 9700 Pro 上遭受重大损失时,它真的把这个教训铭记在心,并开始制造更大更好的 GPU。

尽管 ATI 已经开始了军备竞赛,但英伟达却铁了心要赢得这场比赛。到 2006 年底,英伟达和 ATI 都制造了尺寸达到 300mm2 左右的 GPU,但英伟达的 Tesla 架构在旗舰 G80 芯片上尺寸达到了近 500mm2。如今,这对于旗舰 GPU 来说是相当典型的尺寸,但在当时这确实是前所未见的。

特斯拉于 2006 年底推出了 GeForce 8800 GTX,它给 AMD 带来的打击与四年前 Radeon 9700 Pro 对英伟达造成的打击不相上下。尺寸是 8800 GTX 和 ATI 旗舰产品 Radeon X1950 XTX 之间的决定性因素,后者小了近 150mm2。8800 GTX 速度超快,而且在当时非常耗电。

虽然 ATI 是 BFGPU 的发明者,但它无法跟上 8800 GTX 的步伐。HD 2000 系列的尺寸只有 420mm2,无法赶上 G80 芯片和特斯拉架构。相反,ATI 改变了策略,开始专注于制造更小、更高效、性能密度更高的 GPU。HD3000 系列旗舰产品 HD3870 出奇地小,仅不到 200mm2,后续的 HD 4000 和 5000 系列也将采用类似的小芯片尺寸。

最近,英伟达倾向于在强大的 GPU 之后推出更强大的 GPU,以提醒 AMD 谁是老大,但当时英伟达还不是这样。特斯拉架构非常出色,以至于英伟达决定在 GeForce GTX 9000 系列中再次使用它,该系列与 GeForce 8000 系列基本相同,但性能略有提升。诚然,9800 GTX 的价格几乎是 8800 GTX 的一半,但它仍然是一个无聊的 GPU。

尽管 8800 GTX 现在已经相当老旧了,但它在其他方面的现代化程度却令人瞩目。它的芯片尺寸与当今的高端 GPU 一致,使用带有铝翅片的冷却器,并且有两个 6 针电源连接器。它最多只支持 DirectX 10,这并没有真正发挥作用,因此它不能真正用于现代游戏,但除此之外它非常容易被视为现代 GPU。

第一名:GeForce GTX 1080 Ti

英伟达凭借 28 纳米 GPU:GTX 600、700 和 900 系列取得了令人难以置信的成绩。他们都成功击败了 AMD 的竞争卡,而且每一次的胜利都比上一次更大。在 Fury X 之后,AMD 基本上不再生产旗舰 GPU,这使得英伟达成为下一代高端 GPU 的独家制造商。

讽刺的是,AMD 却在台积电全新 16 纳米节点准备量产时认输了。AMD 无力在台积电生产显卡,而是依赖其老 CPU 制造合作伙伴 GlobalFoundries,该公司已获得三星 14 纳米技术的授权。但不要混淆,台积电的 16nm 绝对是更好的节点。

就英伟达而言,GTX900 系列已经拥有出色的架构,因此决定从 28nm 转向 16nm。通过选择台积电的 16nm,英伟达在很长一段时间以来首次在工艺节点上领先于 AMD,而且是大幅领先。16nm Pascal 架构在很多方面只是 Maxwell 的缩小版,它引入的少数新功能主要是针对 VR 的,而 VR 并没有像 Nvidia(和 AMD)预期的那样起飞。

GTX 1080 作为 2016 年的旗舰 GPU,使得 GTX 980 相对于 GTX 700 系列的改进显得很小。与之前的旗舰产品 980 Ti 相比,1080 的速度大约提高了 30%,效率提高了近两倍,尺寸也缩小了近一半。Maxwell 显然受到 28nm 节点的阻碍,而 Pascal 的 16nm 缩小解决了这个问题。

与 2014 年一样,AMD 没有准备好迎接 GTX 1080 和 1070 的新旗舰,而是依靠旧版 R9 FuryX 和常规 R9 Fury,以及紧凑型 R9Nano。相反,AMD 推出了低端到中端的 RX 400 系列,该系列由 RX 480 开始。虽然它本身就是一张不错的卡,但英伟达的竞争对手 GTX1060 也相当不错,具有与 1080 相同的出色效率。驱动程序更新和 480 的 2GB 额外 VRAM 帮助 AMD 在中端 GPU 领域保持竞争力,这成为了该公司此时的支柱。

凭借 GTX1080,英伟达不仅在 2016 年获胜,还在 2017 年 AMD 的 RXVega 旗舰 GPU 终于问世。AMD 在性能上只能勉强与 1080 和 1070 匹敌,但在效率上却大幅落后。当然,英伟达凭借更大的 PascalGPUGTX1080Ti 抢先了 AMD,并提前了三个月。FuryX 至少可以声称大部分与 980Ti 持平,但 Vega64 甚至无法触及 1080Ti。

如今,GTX10 系列因其出色的性能、效率和定价而被人们所铭记。它可以说是英伟达最后一个伟大的显卡系列,因为 RTX 20、30 或 40 系列都没有真正复制 10 系列广泛而多样化的产品堆栈。英伟达有时间不仅推出了 GTX1080 等 700 美元的旗舰产品,还推出了 100 美元的 GTX1050,这在当时已经相当不错了。GTX10 系列在很多方面都恰逢 PC 游戏玩家的最佳时代之一。

荣誉奖:GeForce RTX 4090

RTX 4090 显然没有出现在这个列表中,并且幕后有很多关于是否包含它的讨论。它绝对是一个快速的 GPU,背后有强大的硬件,但它也非常复杂。我们最终决定,它应该获得荣誉奖来结束这一切。它是最好的 GPU,也是最差的 GPU。

4090 与很久以前的 980 Ti 和 1080 Ti 没有什么不同,因为它是目前最快的游戏 GPU,并且遥遥领先。AMD 的竞争对手 RX 7900XTX 在原始马力方面并没有落后太多,但 4090 在光线追踪方面取得了巨大的领先优势,而且 DLSS 比 FSR 好一点。尽管 4090 的性能领先距离我们在 1080Ti 上看到的井喷还很远,但它仍然处于同类产品中。

这一切都被 4090 的两个非常难以忽视的负面属性所抵消。4090 的整体电源状况充其量也令人不快,4090 的功耗远远超过 400 瓦,并且使用有问题的 12VHPWR 连接器。尽管电源插头现已停产并已进行了修改,但这对于重新设计之前制造的许多 4090 卡来说并没有任何改变。仅此一点,4090 就可能被称为一场灾难。

4090 也象征着过去五年里 PC 游戏的价格有多高。它的建议零售价为 1600 美元,价格相当于一台带有一些不错的游戏硬件的 PC 机。当然,4090 只是在定价方面领先于 3090,但 3090 最初的设想更多的是作为一个泰坦级的豪华 GPU。RTX 3080 的性能仅次于 RTX 3090;RTX 4080 比 RTX 4090 慢得多。

当 4090 如此昂贵时,很难说 4090 是 980 Ti 和 1080 Ti 的直接继承者。980 Ti 和 1080 Ti 因其相对性能的便宜而受到称赞,前者上市时售价为 649 美元,后者上市时售价为 699 美元。如今,您甚至找不到 4090,其建议零售价已高达 1,599 美元,最便宜的设备往往要花费 2,000 美元或更多。

很明显,RTX4090 虽然在很多方面都很出色,但不可能成为英伟达有史以来最伟大的 GPU 之一。如果它没有容易熔化的连接器并且售价甚至为 1,000 美元,那么它可能会成为下一个 GTX1080Ti。相反,我们仍在等待新的冠军。

]]>
最好的游戏 GPU 来自绿色团队。

英伟达成立于 1993 年,很少有失败的经历。它迫使许多竞争对手破产并退出市场,这在很大程度上要归功于高质量的产品。它生产许多最好的显卡,并且一直是支持深度学习和人工智能的硬件的主要推动者。尽管如此,它仍然存在一些缺点,例如为其最新卡提供动力的高价 RTX40 系列 GPU,以及一些值得怀疑的功能(例如帧生成)。

回顾英伟达令人兴奋的过去,自 GeForce 品牌成立 24 年来,英伟达制造了如此之多的优秀 GPU,其中大多数能够与 AMD 的最佳 GPU 正面交锋。我们认为,以下是英伟达有史以来最好的五款游戏 GPU,从下到上,并考虑了单个卡和整个系列。

第五名:GeForce RTX 3060(12GB)

从纸面上看,RTX 30 系列在 2020 年听起来相当不错。它采用了更充实的架构,改进了光线追踪和张量核心,提供了更多的原始性能,甚至恢复了有吸引力的定价结构。实际上,零售定价远未达到应有的水平。就这一点而言,很难找到接近建议零售价的 RTX 30 GPU。

尽管如此,在 2020 年底推出 30 系列之后的几个月里,英伟达继续在产品阵容中添加新型号,不断提升性能。中端尤为重要,因为之前的 RTX 20 系列及其 RTX 2060 和 2060Super 并不是 GTX1060 的令人惊叹的后续产品。考虑到任何人都会在 2021 年购买带有脉冲的 GPU,英伟达并没有真正做到这一点。英伟达真的不需要在新 GPU 上花太多精力,但它的 RTX 3060 让所有人都大吃一惊。

Nvidia 并不总是固定其中端产品,但在 RTX 3060 是一个很好的例外。它在性能上比 RTX 2060 有了显著提升,最引人注目的是 VRAM 增加了一倍,达到 12GB。这甚至比 10GB 的常规 RTX 3080 还要多(尽管有 3080 12GB 并没有真正可用)。对于英伟达来说,如此大的改进是相当了不起的。(我们还应该注意,我们在本次讨论中不包括 gimped RTX 3060 8GB。)

更值得注意的是,AMD 的中端卡竞争产品通常非常强大。RX6600 和 6600XT 拥有不错的马力,但只有 8GB 的显存,不得不依靠较差的 FSR1.0 升级而不是 DLSS。AMD 与其他 30 系列的显卡竞争相当激烈,而且通常具有 VRAM 容量优势,但 Navi23 显卡是例外。

当然,GPU 短缺是一个问题,RTX 3060 也未能幸免。即使是 Nvidia 第一次「自主」尝试锁定以太坊采矿。最终,短缺问题在 2022 年得到缓解,这使得 3060 成为最实惠的 GPU 之一。3060 从未完全达到 329 美元的建议零售价,而 6600 和 6600XT 都跌破了 300 美元。此外,FSR2.0 提供了质量和性能改进,使其与 DLSS 相比更具竞争力,从而进一步削弱了 3060 的优势。

尽管如此,RTX 3060 仍然是英伟达有史以来最好的中端 GPU 之一。除了价格和可用性问题之外,这是一张出色的显卡,无疑可以与 AMD 竞争。它在 30 系列的其余产品中也是独一无二的,遗憾的是 RTX4060 放弃了大部分进步。

第四名:GeForce GTX 680

英伟达很少犯严重的错误,但其中最严重的错误之一就是 Fermi 架构。Fermi 于 2010 年中期首次出现在 GTX 480 中,但它并不是英伟达所需要的,因为它仅比 200 系列提供适度的性能提升,同时消耗大量电量。情况如此糟糕,以至于 Nvidia 在 2010 年底之前匆忙推出了 Fermi 的第二个版本和 GTX500 系列,值得庆幸的是,这带来了更高效的产品。

Fermi 无疑让英伟达进行了一些反省,该公司重新思考了其传统策略。在 2000 年代的大部分时间里,英伟达在节点方面落后于 Radeon(首先由 ATI 拥有,然后由 AMD 拥有)。虽然较新的节点提供了更好的效率、性能和密度,但它们的使用成本也更高,而且经常会出现「初期的痛苦」。到 2000 年代中期,英伟达的主要策略是在较旧的节点上制造大型 GPU,这通常足以将 GeForce 置于首位。

Fermi 的经历对英伟达造成了巨大的创伤,以至于该公司决定在 2012 年初与 AMD 一起进入 28nm 节点。Kepler 是英伟达的首款 28nm GPU,它是一种与 Fermi 和之前的英伟达架构不同的芯片。它采用了最新的工艺,最大版本相对精简,不到 300mm2,并且效率很高。2012 年,英伟达和 AMD 旗舰产品之间的竞争将截然不同。

尽管 AMD 凭借 HD 7970 打响了第一枪,但英伟达在三个月后凭借 Kepler 驱动的 GTX 680 发起反击。680 不仅比 7970 更快,而且效率更高、体积更小,这正是 AMD HD 4000 和 5000 系列 GPU 的擅长领域。诚然,英伟达在这些指标上仅以微弱优势领先,但英伟达在这三个指标上都领先的情况很少见,甚至可能是史无前例的。

随着 HD 7970GHz 版本和性能更好的 AMD 驱动程序的到来,英伟达并没有长时间保持性能桂冠,但英伟达在功率效率和面积效率方面仍然保持着优势。Kepler 继续给 AMD 带来麻烦,因为第二次修订版为 GTX700 系列提供动力,并迫使推出非常热门且耗电的 RadeonR9290X。诚然,R9290X 确实击败了 GTX780,但它非常像 Femi,无论如何,GTX780Ti 夺回了桂冠。

第三名:GeForce GTX 980

从 2000 年代初现代英伟达与 AMD/ATI 竞争的出现到 2010 年代初,GeForce 和 Radeon 都在一代又一代地交锋。当然,大部分时间是英伟达赢得了王位,但通常 ATI(以及后来的 AMD)也紧随其后;唯一一次,一方被完全击败的情况实际上是 ATI 的 Radeon 9700 Pro 击败了英伟达的 GeForce 4 Ti 4600。然而,Nvidia 有几次非常接近于复制这种场景。

到 2010 年代中期,英伟达的前景一定是好的。世界各地的半导体代工厂在超越 28 纳米节点时都遇到了严重问题,其中包括英伟达和 AMD 的 GPU 制造合作伙伴台积电 (TSMC)。这意味着,英伟达可以适应其在旧节点上制造大型 GPU 的旧策略,而不必担心 AMD 用全新节点进行反击。此外,由于 AMD 面临破产的风险,它实际上没有资源与财力雄厚的英伟达竞争。

这两个因素同时发生,造就了一场完美的风暴。英伟达在 GTX 600 和 700 系列的 Kepler 架构方面已经做了非常值得尊敬的工作,但 GTX 900 系列(和 GTX 750Ti)的全新 Maxwell 架构却是另一回事。它从老化的 28 纳米节点中挤出了更多的性能、功效和密度。

旗舰 GTX 980 击败了 AMD 的 R9 290X 和英伟达自己的上一代 GTX 780Ti。GTX980 更快、更高效、更小,但与 680 不同,980 在这些方面绝对领先。980 的效率几乎是 290X 的两倍,性能提高了约 15% 左右,并且芯片面积减少了近 40mm2。与 780Ti 相比,980 的效率提高了近 40%,速度提高了约 10%,并且芯片尺寸缩小了 160mm2 以上。

这虽然不是在 Radeon 9700Pro 水平上的胜利,但仍然是巨大的胜利。这基本上与 AMD 对英伟达的 HD 5870 所做的事情是一样的。只不过,AMD 没有用糟糕的 GPU 来回应 Nvidia,而是没有什么可以反击的。AMD 在 2014 年所能做的就是紧紧抓住其老化的 Radeon200 系列。

2015 年,AMD 再次竭尽全力参与竞争,但仅限于高端领域。它决定从低端到中高端将 Radeon 200 系列更新为 Radeon 300 系列,然后在高端使用其全新的 Fury 系列。然而,英伟达拥有更大的 Maxwell GPU,随时准备击败 AMD 满怀希望的 R9 Fury X,而 GTX 980 Ti 正是做到了这一点。凭借 6GB 内存,980Ti 成为了比配备 4GB 内存的 FuryX 更明显的选择(否则这实际上是一张不错的卡)。

尽管英伟达取得了巨大的胜利,GTX900 系列却永久地改变了游戏显卡的格局。Fury X 是 AMD 最后一款有竞争力的旗舰产品,直到 2020 年 RX6900 XT 推出,这很大程度上是因为 AMD 停止了每一代产品的生产。AMD 又重新开始定期生产旗舰 GPU,但 Maxwell 确实对 Radeon 造成了重创,并且多年来都没有恢复过来。

第二名:GeForce 8800 GTX

2000 年代初期,随着英伟达和 ATI 在关键领域取得进展,现代显卡出现了。英伟达的 GeForce 256 引入了硬件加速变换和照明视觉效果,而 ATI 的 Radeon 9700 Pro 则表明 GPU 应该包含更多的计算硬件,并且可能非常大。当 2002 年英伟达在 9700 Pro 上遭受重大损失时,它真的把这个教训铭记在心,并开始制造更大更好的 GPU。

尽管 ATI 已经开始了军备竞赛,但英伟达却铁了心要赢得这场比赛。到 2006 年底,英伟达和 ATI 都制造了尺寸达到 300mm2 左右的 GPU,但英伟达的 Tesla 架构在旗舰 G80 芯片上尺寸达到了近 500mm2。如今,这对于旗舰 GPU 来说是相当典型的尺寸,但在当时这确实是前所未见的。

特斯拉于 2006 年底推出了 GeForce 8800 GTX,它给 AMD 带来的打击与四年前 Radeon 9700 Pro 对英伟达造成的打击不相上下。尺寸是 8800 GTX 和 ATI 旗舰产品 Radeon X1950 XTX 之间的决定性因素,后者小了近 150mm2。8800 GTX 速度超快,而且在当时非常耗电。

虽然 ATI 是 BFGPU 的发明者,但它无法跟上 8800 GTX 的步伐。HD 2000 系列的尺寸只有 420mm2,无法赶上 G80 芯片和特斯拉架构。相反,ATI 改变了策略,开始专注于制造更小、更高效、性能密度更高的 GPU。HD3000 系列旗舰产品 HD3870 出奇地小,仅不到 200mm2,后续的 HD 4000 和 5000 系列也将采用类似的小芯片尺寸。

最近,英伟达倾向于在强大的 GPU 之后推出更强大的 GPU,以提醒 AMD 谁是老大,但当时英伟达还不是这样。特斯拉架构非常出色,以至于英伟达决定在 GeForce GTX 9000 系列中再次使用它,该系列与 GeForce 8000 系列基本相同,但性能略有提升。诚然,9800 GTX 的价格几乎是 8800 GTX 的一半,但它仍然是一个无聊的 GPU。

尽管 8800 GTX 现在已经相当老旧了,但它在其他方面的现代化程度却令人瞩目。它的芯片尺寸与当今的高端 GPU 一致,使用带有铝翅片的冷却器,并且有两个 6 针电源连接器。它最多只支持 DirectX 10,这并没有真正发挥作用,因此它不能真正用于现代游戏,但除此之外它非常容易被视为现代 GPU。

第一名:GeForce GTX 1080 Ti

英伟达凭借 28 纳米 GPU:GTX 600、700 和 900 系列取得了令人难以置信的成绩。他们都成功击败了 AMD 的竞争卡,而且每一次的胜利都比上一次更大。在 Fury X 之后,AMD 基本上不再生产旗舰 GPU,这使得英伟达成为下一代高端 GPU 的独家制造商。

讽刺的是,AMD 却在台积电全新 16 纳米节点准备量产时认输了。AMD 无力在台积电生产显卡,而是依赖其老 CPU 制造合作伙伴 GlobalFoundries,该公司已获得三星 14 纳米技术的授权。但不要混淆,台积电的 16nm 绝对是更好的节点。

就英伟达而言,GTX900 系列已经拥有出色的架构,因此决定从 28nm 转向 16nm。通过选择台积电的 16nm,英伟达在很长一段时间以来首次在工艺节点上领先于 AMD,而且是大幅领先。16nm Pascal 架构在很多方面只是 Maxwell 的缩小版,它引入的少数新功能主要是针对 VR 的,而 VR 并没有像 Nvidia(和 AMD)预期的那样起飞。

GTX 1080 作为 2016 年的旗舰 GPU,使得 GTX 980 相对于 GTX 700 系列的改进显得很小。与之前的旗舰产品 980 Ti 相比,1080 的速度大约提高了 30%,效率提高了近两倍,尺寸也缩小了近一半。Maxwell 显然受到 28nm 节点的阻碍,而 Pascal 的 16nm 缩小解决了这个问题。

与 2014 年一样,AMD 没有准备好迎接 GTX 1080 和 1070 的新旗舰,而是依靠旧版 R9 FuryX 和常规 R9 Fury,以及紧凑型 R9Nano。相反,AMD 推出了低端到中端的 RX 400 系列,该系列由 RX 480 开始。虽然它本身就是一张不错的卡,但英伟达的竞争对手 GTX1060 也相当不错,具有与 1080 相同的出色效率。驱动程序更新和 480 的 2GB 额外 VRAM 帮助 AMD 在中端 GPU 领域保持竞争力,这成为了该公司此时的支柱。

凭借 GTX1080,英伟达不仅在 2016 年获胜,还在 2017 年 AMD 的 RXVega 旗舰 GPU 终于问世。AMD 在性能上只能勉强与 1080 和 1070 匹敌,但在效率上却大幅落后。当然,英伟达凭借更大的 PascalGPUGTX1080Ti 抢先了 AMD,并提前了三个月。FuryX 至少可以声称大部分与 980Ti 持平,但 Vega64 甚至无法触及 1080Ti。

如今,GTX10 系列因其出色的性能、效率和定价而被人们所铭记。它可以说是英伟达最后一个伟大的显卡系列,因为 RTX 20、30 或 40 系列都没有真正复制 10 系列广泛而多样化的产品堆栈。英伟达有时间不仅推出了 GTX1080 等 700 美元的旗舰产品,还推出了 100 美元的 GTX1050,这在当时已经相当不错了。GTX10 系列在很多方面都恰逢 PC 游戏玩家的最佳时代之一。

荣誉奖:GeForce RTX 4090

RTX 4090 显然没有出现在这个列表中,并且幕后有很多关于是否包含它的讨论。它绝对是一个快速的 GPU,背后有强大的硬件,但它也非常复杂。我们最终决定,它应该获得荣誉奖来结束这一切。它是最好的 GPU,也是最差的 GPU。

4090 与很久以前的 980 Ti 和 1080 Ti 没有什么不同,因为它是目前最快的游戏 GPU,并且遥遥领先。AMD 的竞争对手 RX 7900XTX 在原始马力方面并没有落后太多,但 4090 在光线追踪方面取得了巨大的领先优势,而且 DLSS 比 FSR 好一点。尽管 4090 的性能领先距离我们在 1080Ti 上看到的井喷还很远,但它仍然处于同类产品中。

这一切都被 4090 的两个非常难以忽视的负面属性所抵消。4090 的整体电源状况充其量也令人不快,4090 的功耗远远超过 400 瓦,并且使用有问题的 12VHPWR 连接器。尽管电源插头现已停产并已进行了修改,但这对于重新设计之前制造的许多 4090 卡来说并没有任何改变。仅此一点,4090 就可能被称为一场灾难。

4090 也象征着过去五年里 PC 游戏的价格有多高。它的建议零售价为 1600 美元,价格相当于一台带有一些不错的游戏硬件的 PC 机。当然,4090 只是在定价方面领先于 3090,但 3090 最初的设想更多的是作为一个泰坦级的豪华 GPU。RTX 3080 的性能仅次于 RTX 3090;RTX 4080 比 RTX 4090 慢得多。

当 4090 如此昂贵时,很难说 4090 是 980 Ti 和 1080 Ti 的直接继承者。980 Ti 和 1080 Ti 因其相对性能的便宜而受到称赞,前者上市时售价为 649 美元,后者上市时售价为 699 美元。如今,您甚至找不到 4090,其建议零售价已高达 1,599 美元,最便宜的设备往往要花费 2,000 美元或更多。

很明显,RTX4090 虽然在很多方面都很出色,但不可能成为英伟达有史以来最伟大的 GPU 之一。如果它没有容易熔化的连接器并且售价甚至为 1,000 美元,那么它可能会成为下一个 GTX1080Ti。相反,我们仍在等待新的冠军。

]]>
//www.cazqn.com/article/202312/453863.htm Wed, 13 Dec 2023 14:01:48 +0800
<![CDATA[ 对话罗文基教授,解析ISSCC2024背后的产业趋势 ]]> 自 1953 年创办以来,ISSCC 一直被全球学术界和工业界公认为集成电路设计领域的最高级别会议。在美国旧金山举行的 ISSCC, 其前沿的科研创新每年都会吸引超过 3000 人参会,而其中大概 60% 是来自全球的从业者。

在历史进程中,众多重要的发明与创举,诸如全球首个集成模拟放大器芯片、首个 8 位微处理器芯片、首个 32 位微处理器芯片、首个 1Gb 内存 DRAM 芯片以及首个多核处理器芯片,皆是在 ISSCC 上首次向公众展示的。

在 ISSCC 2024 中国区发布会后,半导体产业纵横与 ISSCC 国际技术委员会委员及远东区主席,澳门大学罗文基教授进行对话。进一步交流 ISSCC 带来的前沿趋势、远东区和中国区的变化,以及 ISSCC 在促进产学研方面的进展。

投稿量猛增 40%,产学结合成新趋势

今年 ISSCC 的主题是 IC for a better world,主要是探讨芯片设计在人类健康、社会可持续发展以及人们生活质量等方面不可或缺的重要性。

自 2018 年以来,ISSCC 论文投稿量都在 600 份左右,而今年投稿论文达到 873 篇,录用文章为 234 篇,录用比例达到 26.8%。

在被问及 ISSCC 2024 有何亮点时,罗文基表示:「今年 ISSCC 的投稿量比去年多了高达 40%,这是一个非常惊人的数量。尤其在电源管理及存储器方向的投稿数都超过 100 份,同时其他领域也在增长。由此可见,整个行业都在不断地向前发展。在 873 份投稿中,远东区占了 63%,并有 148 篇文章被接收,其中中国区有 69 篇被接收,比去年多 10 篇,这也代表我国电路设计的科研及创新水平已达到国际水平。值得一提的是,今年 ISSCC 新增了电路安全方向,这也能看到电路安全在未来对集成电路十分重要。」

对谈到不同领域的创新,罗文基举了两个例子:「在模拟电路方面,首次发表用于高性能处理器的超小型全集成温度传感器,在单点校准的前提下,其芯片面积仅为现有方案的 1/10 左右,能够大大减少成本;在超低功耗收发器方面,发表多个同时提升 SIR 及降低能耗的收发器设计方案。这些创新也会为业界带来新思路,从而有望提升电子产品性能及降低成本。」

实际上,今年 ISSCC 也会有 ISSCC 框架外创新的特邀文章。如加利福尼亚大学的《Short-Reach Silicon Photonic Interconnects with Quantum Dot Mode Locked Laser Comb Sources》;宾夕法尼亚大学的《Extreme wave-based metastructures》;莱斯大学的《Toward Exponential Growth of Therapeutic Neurotechnology》;卡内基梅隆大学的《Liquid Metal–Polymer Composites for Stretchable Circuits, Soft Machines, and Thermal Management》。这里探索很多创新领域,包括神经技术、量子点以及可拉伸电路等前沿交叉领域,这些都有望成为未来研究及产学合作的热点。

在采访中,罗教授提到了一个新趋势:产业界和学术界共同研究的投稿量有所上升,从投稿的数量来看,今年两者合作文章投稿数大概占三分之一,接收的文章共占 28%。其中方向主要在高端处理器 SoC、高密度存储器,及高效光通信芯片等。比如:三星和韩国多所大学共同发表的文章,国内浙江大学和杭州的万高科技合作的文章《A 14b 98Hz-to-5.9kHz 1.7-to-50.8μW BW/Power Scalable Sensor Interface with a Dynamic Bandgap Reference and an Untrimmed Gain Error of ±0.26% from -40°C to 125°C》,这都是学术界和产业界合作的典型例子;这也能看出产业界和学术界合作越来越密切。随着投稿数量不断提升,我们可以看到,产业界在 ISSCC 会议上也会倾向于发表他们最前沿的技术。

占比超过 60%,远东地区百花齐放

今年总共 873 份文章在 ISSCC 投稿,其中远东区占据总数的 60% 以上,并被接收了 148 篇文章。

从数据上看,从 2021 年开始到 2024 年远东区被收录论文数量急速上升。罗文基对于远东区的增长十分看好。他特别提到:「ISSCC 各个技术委员会都有远东区的贡献,简单来说,就是所有的技术委员会都包含了远东区的文章。远东区在集成电路领域的研究可谓百花齐放。」

在被问及远东区集成电路研究的优势领域时,他表示:「今年在总共 34 个技术会议中,远东地区占比超过 75% 的 session,尤其是高密度存储器、振荡器、显示器技术,以及存内运算这 4 个技术会议中,远东区囊括了所有的文章。」此外,图像传感器、模数转换器、机器学习加速器及电源转换器等领域也向来是远东区的强项,今年在 ISSCC 上,远东区在以上方向也持续创新,占了大部分的文章。这和远东区产业领先领域基本匹配,也说明科研和产业是紧密相连的。

从 1 篇到 55 篇,中国大陆突飞猛进

ISSCC 2024 中国大陆、香港、澳门地区加起来总共有 69 篇论文入选,其中中国大陆 55 篇。此外,中国参与 ISSCC 的机构数量每年都在增长,今年的机构数量增长到 19 家。

具体到国内收录篇数,澳门大学收录篇数为 14 篇、清华大学 13 篇、东南大学 6 篇、北京大学 5 篇、中科大 5 篇、南科大 5 篇、电子科大 4 篇、复旦大学 3 篇、浙江大学 2 篇、港中大(深圳)2 篇、中科院微电子所 2 篇、中科院半导体所 1 篇、西安交大 1 篇、华东师范 1 篇、上海交大 1 篇、中山大学 1 篇、北京理工大学 1 篇、同济大学 1 篇、万高科技(杭州)1 篇。其中北京理工大学、华东师范大学、南方科技大学、同济大学、香港中文大学(深圳)和万高科技都是首次在 ISSCC 会议上发表论文。

在谈到近年来中国大陆区在 ISSCC 上表现,罗教授说:「我从 2018 年首次担任 ISSCC 技术委员会成员至今,有幸参与和看到了我国在集成电路方面的不断创新和突飞猛进。2017 年内地高校只有 1 篇文章被 ISSCC 接收,到今年数量增长至 55 篇。」他特别感慨:「在六年内能够做到这个程度,其实一点都不容易。同时,我国在所有技术领域中都有文章被接收,尤其在电源管理、无线通信及存储器等领域中都有着良好的发展趋势,在集成电路科研的实力和创新,全世界有目共睹。这也证明了我国在同行的认可度正在不断提升。」另外,基于当前的大环境,他也表示:「我国微电子领域虽然受到不同的限制,但这也是提升集成电路能力的机会,能够从基础层面持续发展自身实力。这对我国集成电路产业来说是一个转折点,如果我们做得好的话,将来的发展不可估量。」

根据罗教授的介绍,我们详细梳理了中国区论文发表情况,确实看到了很多新的突破。在高密度存储器和接口方面,南方科技大学的潘权教授团队为中国内地在该 Session(Session 13)实现了论文零的突破;在前瞻技术领域方面,同济大学被收录 1 篇论文,这也是同济大学首次在 ISSCC 上发表论文;在电源管理方面,中科大程林教授团队 5 篇论文入选,创中国大陆单课题组单年入选篇数最高记录等等。

融入大湾区,澳门大学新发展

今年,澳门大学以 14 篇论文入选,蝉联中国区第一。澳门大学在低功耗射频电路、高效电源管理及高速模数转换器等多个领域,多年来都表现比较好。

在谈到澳门大学在集成电路领域的发展,罗教授介绍到:澳门大学在 2010 年 11 获国家批准设立两个国家重点实验室,其中一个是模拟与混合信号超大规模集成电路国家重点实验室。该实验室目前是广东省唯一的微电子国家重点实验室,在集成电路研究方面已数度刷新芯片奥林匹克﹙国际固态电路会议,ISSCC﹚的世界纪录,并且提出了最先进的无线及数据转换解决方法。罗文基也分享了澳门大学在产学研方面的进展:「一方面,为配合大湾区集成电路的发展,在深圳市福田区建立了澳大河套集成电路研究院,也跟湾区多家企业在多个领域进行了紧密的科研合作交流。另一方面,除了在澳门,我们还在珠海横琴开设了研究基地,力争为我国集成电路设计的发展与人才培养添砖加瓦。」

对话的最后,谈到集成电路产业发展,罗教授表示:「不仅对我国,对全球来说,集成电路都是未来发展的重要产业。近年来,我国集成电路设计能够高速发展,离不开国内集成电路领域同行多年以来的共同努力和其他行业的支持。如何把握下一世代新兴技术,如万物联网、 大数据及人工智能等领域对集成电路产业带来的机会,将是眼下重要的课题。」

谈及未来,他充满信心:「大家仍需继续努力,突破限制,迎难而上,在集成电路上不断寻求突破创新,把握时机,共同为行业及国家的发展出力!」

附:ISSCC 2024 中国大陆、香港、澳门论文收录情况

]]>
自 1953 年创办以来,ISSCC 一直被全球学术界和工业界公认为集成电路设计领域的最高级别会议。在美国旧金山举行的 ISSCC, 其前沿的科研创新每年都会吸引超过 3000 人参会,而其中大概 60% 是来自全球的从业者。

在历史进程中,众多重要的发明与创举,诸如全球首个集成模拟放大器芯片、首个 8 位微处理器芯片、首个 32 位微处理器芯片、首个 1Gb 内存 DRAM 芯片以及首个多核处理器芯片,皆是在 ISSCC 上首次向公众展示的。

在 ISSCC 2024 中国区发布会后,半导体产业纵横与 ISSCC 国际技术委员会委员及远东区主席,澳门大学罗文基教授进行对话。进一步交流 ISSCC 带来的前沿趋势、远东区和中国区的变化,以及 ISSCC 在促进产学研方面的进展。

投稿量猛增 40%,产学结合成新趋势

今年 ISSCC 的主题是 IC for a better world,主要是探讨芯片设计在人类健康、社会可持续发展以及人们生活质量等方面不可或缺的重要性。

自 2018 年以来,ISSCC 论文投稿量都在 600 份左右,而今年投稿论文达到 873 篇,录用文章为 234 篇,录用比例达到 26.8%。

在被问及 ISSCC 2024 有何亮点时,罗文基表示:「今年 ISSCC 的投稿量比去年多了高达 40%,这是一个非常惊人的数量。尤其在电源管理及存储器方向的投稿数都超过 100 份,同时其他领域也在增长。由此可见,整个行业都在不断地向前发展。在 873 份投稿中,远东区占了 63%,并有 148 篇文章被接收,其中中国区有 69 篇被接收,比去年多 10 篇,这也代表我国电路设计的科研及创新水平已达到国际水平。值得一提的是,今年 ISSCC 新增了电路安全方向,这也能看到电路安全在未来对集成电路十分重要。」

对谈到不同领域的创新,罗文基举了两个例子:「在模拟电路方面,首次发表用于高性能处理器的超小型全集成温度传感器,在单点校准的前提下,其芯片面积仅为现有方案的 1/10 左右,能够大大减少成本;在超低功耗收发器方面,发表多个同时提升 SIR 及降低能耗的收发器设计方案。这些创新也会为业界带来新思路,从而有望提升电子产品性能及降低成本。」

实际上,今年 ISSCC 也会有 ISSCC 框架外创新的特邀文章。如加利福尼亚大学的《Short-Reach Silicon Photonic Interconnects with Quantum Dot Mode Locked Laser Comb Sources》;宾夕法尼亚大学的《Extreme wave-based metastructures》;莱斯大学的《Toward Exponential Growth of Therapeutic Neurotechnology》;卡内基梅隆大学的《Liquid Metal–Polymer Composites for Stretchable Circuits, Soft Machines, and Thermal Management》。这里探索很多创新领域,包括神经技术、量子点以及可拉伸电路等前沿交叉领域,这些都有望成为未来研究及产学合作的热点。

在采访中,罗教授提到了一个新趋势:产业界和学术界共同研究的投稿量有所上升,从投稿的数量来看,今年两者合作文章投稿数大概占三分之一,接收的文章共占 28%。其中方向主要在高端处理器 SoC、高密度存储器,及高效光通信芯片等。比如:三星和韩国多所大学共同发表的文章,国内浙江大学和杭州的万高科技合作的文章《A 14b 98Hz-to-5.9kHz 1.7-to-50.8μW BW/Power Scalable Sensor Interface with a Dynamic Bandgap Reference and an Untrimmed Gain Error of ±0.26% from -40°C to 125°C》,这都是学术界和产业界合作的典型例子;这也能看出产业界和学术界合作越来越密切。随着投稿数量不断提升,我们可以看到,产业界在 ISSCC 会议上也会倾向于发表他们最前沿的技术。

占比超过 60%,远东地区百花齐放

今年总共 873 份文章在 ISSCC 投稿,其中远东区占据总数的 60% 以上,并被接收了 148 篇文章。

从数据上看,从 2021 年开始到 2024 年远东区被收录论文数量急速上升。罗文基对于远东区的增长十分看好。他特别提到:「ISSCC 各个技术委员会都有远东区的贡献,简单来说,就是所有的技术委员会都包含了远东区的文章。远东区在集成电路领域的研究可谓百花齐放。」

在被问及远东区集成电路研究的优势领域时,他表示:「今年在总共 34 个技术会议中,远东地区占比超过 75% 的 session,尤其是高密度存储器、振荡器、显示器技术,以及存内运算这 4 个技术会议中,远东区囊括了所有的文章。」此外,图像传感器、模数转换器、机器学习加速器及电源转换器等领域也向来是远东区的强项,今年在 ISSCC 上,远东区在以上方向也持续创新,占了大部分的文章。这和远东区产业领先领域基本匹配,也说明科研和产业是紧密相连的。

从 1 篇到 55 篇,中国大陆突飞猛进

ISSCC 2024 中国大陆、香港、澳门地区加起来总共有 69 篇论文入选,其中中国大陆 55 篇。此外,中国参与 ISSCC 的机构数量每年都在增长,今年的机构数量增长到 19 家。

具体到国内收录篇数,澳门大学收录篇数为 14 篇、清华大学 13 篇、东南大学 6 篇、北京大学 5 篇、中科大 5 篇、南科大 5 篇、电子科大 4 篇、复旦大学 3 篇、浙江大学 2 篇、港中大(深圳)2 篇、中科院微电子所 2 篇、中科院半导体所 1 篇、西安交大 1 篇、华东师范 1 篇、上海交大 1 篇、中山大学 1 篇、北京理工大学 1 篇、同济大学 1 篇、万高科技(杭州)1 篇。其中北京理工大学、华东师范大学、南方科技大学、同济大学、香港中文大学(深圳)和万高科技都是首次在 ISSCC 会议上发表论文。

在谈到近年来中国大陆区在 ISSCC 上表现,罗教授说:「我从 2018 年首次担任 ISSCC 技术委员会成员至今,有幸参与和看到了我国在集成电路方面的不断创新和突飞猛进。2017 年内地高校只有 1 篇文章被 ISSCC 接收,到今年数量增长至 55 篇。」他特别感慨:「在六年内能够做到这个程度,其实一点都不容易。同时,我国在所有技术领域中都有文章被接收,尤其在电源管理、无线通信及存储器等领域中都有着良好的发展趋势,在集成电路科研的实力和创新,全世界有目共睹。这也证明了我国在同行的认可度正在不断提升。」另外,基于当前的大环境,他也表示:「我国微电子领域虽然受到不同的限制,但这也是提升集成电路能力的机会,能够从基础层面持续发展自身实力。这对我国集成电路产业来说是一个转折点,如果我们做得好的话,将来的发展不可估量。」

根据罗教授的介绍,我们详细梳理了中国区论文发表情况,确实看到了很多新的突破。在高密度存储器和接口方面,南方科技大学的潘权教授团队为中国内地在该 Session(Session 13)实现了论文零的突破;在前瞻技术领域方面,同济大学被收录 1 篇论文,这也是同济大学首次在 ISSCC 上发表论文;在电源管理方面,中科大程林教授团队 5 篇论文入选,创中国大陆单课题组单年入选篇数最高记录等等。

融入大湾区,澳门大学新发展

今年,澳门大学以 14 篇论文入选,蝉联中国区第一。澳门大学在低功耗射频电路、高效电源管理及高速模数转换器等多个领域,多年来都表现比较好。

在谈到澳门大学在集成电路领域的发展,罗教授介绍到:澳门大学在 2010 年 11 获国家批准设立两个国家重点实验室,其中一个是模拟与混合信号超大规模集成电路国家重点实验室。该实验室目前是广东省唯一的微电子国家重点实验室,在集成电路研究方面已数度刷新芯片奥林匹克﹙国际固态电路会议,ISSCC﹚的世界纪录,并且提出了最先进的无线及数据转换解决方法。罗文基也分享了澳门大学在产学研方面的进展:「一方面,为配合大湾区集成电路的发展,在深圳市福田区建立了澳大河套集成电路研究院,也跟湾区多家企业在多个领域进行了紧密的科研合作交流。另一方面,除了在澳门,我们还在珠海横琴开设了研究基地,力争为我国集成电路设计的发展与人才培养添砖加瓦。」

对话的最后,谈到集成电路产业发展,罗教授表示:「不仅对我国,对全球来说,集成电路都是未来发展的重要产业。近年来,我国集成电路设计能够高速发展,离不开国内集成电路领域同行多年以来的共同努力和其他行业的支持。如何把握下一世代新兴技术,如万物联网、 大数据及人工智能等领域对集成电路产业带来的机会,将是眼下重要的课题。」

谈及未来,他充满信心:「大家仍需继续努力,突破限制,迎难而上,在集成电路上不断寻求突破创新,把握时机,共同为行业及国家的发展出力!」

附:ISSCC 2024 中国大陆、香港、澳门论文收录情况

]]>
//www.cazqn.com/article/202312/453862.htm Wed, 13 Dec 2023 13:57:50 +0800
<![CDATA[ 300层之后,3D NAND的技术路线图 ]]> 增加 3D NAND 闪存密度的方法正在发生变化。这是因为支持传统高密度技术的基本技术预计将在不久的将来达到其极限。2025 年至 2030 年间,新的基础技术的引入和转化很可能会变得更加普遍。

英特尔原型设计的 3D NAND 闪存,采用 5 位/单元 (PLC) 多级存储技术。每个硅片的存储容量为 1.67Tbit,为有史以来最大的。

自 2013 年 3D NAND 闪存开始商业化生产以来,存储密度以每年 1.41 倍左右的速度持续提升。从国际会议 ISSCC 上展示的原型硅芯片来看,2014 年存储密度为每平方毫米 0.93 Gbit,但 2024 年将达到每平方毫米 28.5 Gbit。简单对比一下,10 年间存储密度增加了 30.6 倍。

NAND 闪存存储密度的变化(2011-2024)

提高 3D NAND 闪存存储密度的四项基本技术

迄今为止,3D NAND 闪存的存储密度主要通过采用四种基本技术来提高。分别是「高层化」「多值化」、「布局变更(存储单元阵列和 CMOS 外围电路的单片层叠)」、「微细化(缩短横向尺寸)」等。

这四种方法中,进步最大的恐怕就是「高层化」了。通过增加在垂直于硅衬底表面的方向上布置和连接的存储单元 (单元晶体管) 的数量,或者通过增加堆叠的单元晶体管的字线 (栅电极) 的数量来增加每硅面积的存储密度。

2013 年宣布开发和商业化时,层压层数为 24 层,从今天的角度来看,这似乎很小。尽管如此,当时的制造极其困难,业内人士传言,第二年决定开始商业化生产的三星电子的制造良率还不到一半。

之后,堆栈的数量迅速增加。四年后,即 2017 年,这个数字翻了两番,达到 96 个。八年后,即 2022 年,达到了 238 层,大约增加了 10 倍,而次年,即 2023 年,则突破了 300 层。

由于增强型多级存储器,存储器密度是平面 NAND 的 1.5 至 2 倍

简单对比一下,「高层化」对存储密度的贡献「10 年大约是 10 倍」。然而,正如已经提到的,实际上,这个数字在 10 年内增加了大约 30 倍。其余三倍的金额是通过其他基础技术实现的。

一个代表性的例子是「多值」。平面(2D)NAND 闪存中开始引入的多级存储一直以 2 位/单元(MLC)方法为主,而 3 位/单元(TLC)方法仍然处于边缘地位。除了 3D NAND 闪存的第一个原型是 MLC 之外,TLC 从一开始就成为主流。与平面 NAND 闪存相比,存储密度提高了 1.5 倍。

3D NAND 闪存进一步发展了多级存储技术,并将 4bit/cell(QLC)方法投入实际应用。这相当于平面 NAND 闪存 (MLC) 存储密度的两倍,是现有 3D NAND 闪存 (TLC) 存储密度的 1.33 倍。

字线层数(横轴)与存储密度(纵轴)之间的关系。TLC(3 位/单元)方法和 QLC(4 位/单元)方法之间的存储密度存在明显差异。

改变布局的巨大威力

我们能够确认,通过「高层化」和「多值(强化)」,存储密度将增加 10 倍×2 倍(最大)或 20 倍。当达到「30 倍」时,剩下的就是「1.5 倍」。这就是第三个基本技术「布局改变(存储单元阵列和 CMOS 外围电路的单片堆叠)」发挥作用的地方。

思路很简单,在变更布局之前,存储器单元阵列和 CMOS 外围电路在硅芯片上并排布局。存储器单元阵列的下部有硅基板,但没有制造电路。它被称为「空地」。通过在该空余空间中形成 CMOS 外围电路,将减少硅面积。当然,可以通过仅在存储单元阵列下方形成一些而非全部 CMOS 外围电路来增加存储密度。换句话说,存储密度的提高速度是由布局决定的。

例如,东芝存储器(现 Kioxia)与西部数据(以下简称 WD)联合开发团队在 2019 年国际会议 ISSCC 上公布的 3D NAND 闪存,其存储单元阵列具有两个平面(划分数)除了将平面数量从 1 个增加到 4 个之外,大部分 CMOS 外围电路都形成在存储单元阵列正下方的硅衬底上。注意,增加平面分割的数量的目的是提高操作速度或者缓解操作速度的下降。

增加平面划分的数量会增加行 (ROW) 解码器和列 (COLUMN) 解码器的数量并增加硅面积。东芝内存-WD 联盟表示,从 2 个平面改为 4 个平面将使硅芯片面积增加 15%。

如果将 CMOS 外围电路直接布置在存储单元阵列下方,则硅芯片面积的增加可以保持在仅 1%。简单计算一下,存储密度提升了 12% 左右。

将 CMOS 外围电路放置在存储单元阵列下方的布局更改(左)及其结果(右)。如果简单地将 2 个平面改为 4 个平面,硅面积就会增加 15%,但实际上硅面积仅增加了 1%。

横向「细化」虽小,作用却很大

剩下的就是「小型化(缩短横向尺寸)」。具体而言,垂直沟道 (存储器通孔) 的间距减小。虽然效果很大,但制造本身却极其困难。当从当前一代过渡到下一代时,存储器通孔的间距保持不变的情况并不少见。

3D NAND 闪存开发商的单位单元面积(左:相当于垂直通道的尺寸)和单位单元体积(右:相当于单元的垂直厚度和横向尺寸的乘积)。横轴是堆叠单元(字线)的数量(对应技术代数)。增加堆叠层数(建造高层建筑)并不一定等于减少面积和体积。反之,则可能会增加。

使用传统技术的高密度限制

随着存储密度的增加,这些传统技术在技术上变得更加困难。开发下一代 3D NAND 闪存就像攀登永无止境的斜坡,随着进步,角度也会越来越大。

各大 NAND Flash 公司自开发以来,经过反复的换代,目前的世代已经达到了第 6 代至第 8 代。斜坡已经变得相当陡峭,公司正在认真寻找替代路线。

让我们简要讨论一下每项基本技术的问题。

第一步是「高层化」。由于字线提取区域的扩大而导致效率降低,由于层数增加(垂直划分存储单元阵列的单元)而导致对准难度增加,工艺步骤数量增加以及字线变细。其中包括字线电阻的增加(一种缓解高层结构导致的存储器通孔深宽比增加的方法)、上下相邻单元之间的电干扰增加以及字线数量的减少。

单元晶体管栅极(字线)节距(垂直方向)与字线堆叠数量之间的关系。减少字线间距(更细的字线),同时增加字线堆叠的数量。

接下来我们来说说「多层次」的局限性。多级存储技术有一个固有的弱点,即随着位数的增加,效率下降,技术难度迅速增加。

从 1 位/单元 (SLC) 到 2 位/单元 (MLC) 的转变原则上使存储密度加倍。在随后从 MLC 到 3 位/单元 (TLC) 的转变中,存储密度的增长率大幅降低至 1.5 倍。从 TLC 到 4bit/cell(QLC)的过渡中,存储密度的增加率更低,为 1.33 倍。

另一方面,阈值电压阶跃的数量每 1 位加倍。TLC 有 8 个步骤(7 个步骤,不包括擦除),QLC 有 16 个步骤(15 个步骤,不包括擦除)。如果写入(编程)电压范围相同,则增加 1 位将使阈值电压裕度减少一半。尽管 QLC 已经商业化生产,但 PLC 的实际应用仍不清楚。

接下来是「布局变更(存储单元阵列和 CMOS 外围电路的单片堆叠)」。这种方法有两个主要缺点。一是一旦在一代引入,即使在下一代引入,效果也保持不变。其次,由于存储单元阵列是在形成 CMOS 外围电路之后制造的,所以在存储单元阵列工艺期间施加的热处理降低了 CMOS 外围电路的性能。换句话说,存在运行速度降低的风险。

CMOS 外围电路的性能下降和存储单元阵列的热处理温度之间存在折衷关系。外围电路和单元阵列的性能很难同时优化。此外,更高结构的进步可能会进一步恶化由于存储单元阵列的热处理而导致的外围电路的性能恶化。

最后一步是小型化。当垂直沟道(存储器通孔)做得更薄时,沟道电阻会增加。这导致单元晶体管的性能下降。垂直通道的直径只能减小到一定程度。

突破高密度极限的基础技术候选组

解决上述限制和问题的基本技术已经被提出,并且研究和开发正在进行,下面我们来介绍其中的一些。

将「超越高层化极限」的候选基础技术包括将字线金属从目前的钨(W)改为电阻率较低的金属(缓解字线电阻的增加),以及垂直沟道材料。由现在的多晶硅改为单晶硅(缓解沟道电阻的增加),将电荷俘获栅极绝缘膜由现在的氮氧化物膜改为铁电膜(不依赖于电子数量的介电膜)。除了目前存储单元阵列各层之间的单片连接外,还将引入混合晶圆键合(缓解工艺难度的增加)。

将「超越多值技术极限」的基本技术候选包括将单元晶体管的存储方法从当前的电荷陷阱技术改为浮动栅极技术(缓解阈值电压裕度降低)。

混合堆叠是一种候选基本技术,「超越了布局变化的限制(存储单元阵列和 CMOS 外围电路的单片堆叠)」。存储单元阵列和 CMOS 外围电路形成在不同的晶片上,并且将晶片接合在一起。这样做的优点是可以优化存储单元阵列和 CMOS 外围电路工艺。随着每个输入/输出引脚的传输速率超过 5 GT/s,引入混合堆叠的可能性就会增加。

拥有替代技术的候选者非常重要,即使它们不完整,以防当前技术达到其极限。3D NAND 闪存的高密度尚未达到极限。通过改进新的基本技术,甚至可以达到 1,000 层。这完全取决于你能在多大程度上投入你的资源。

]]>
增加 3D NAND 闪存密度的方法正在发生变化。这是因为支持传统高密度技术的基本技术预计将在不久的将来达到其极限。2025 年至 2030 年间,新的基础技术的引入和转化很可能会变得更加普遍。

英特尔原型设计的 3D NAND 闪存,采用 5 位/单元 (PLC) 多级存储技术。每个硅片的存储容量为 1.67Tbit,为有史以来最大的。

自 2013 年 3D NAND 闪存开始商业化生产以来,存储密度以每年 1.41 倍左右的速度持续提升。从国际会议 ISSCC 上展示的原型硅芯片来看,2014 年存储密度为每平方毫米 0.93 Gbit,但 2024 年将达到每平方毫米 28.5 Gbit。简单对比一下,10 年间存储密度增加了 30.6 倍。

NAND 闪存存储密度的变化(2011-2024)

提高 3D NAND 闪存存储密度的四项基本技术

迄今为止,3D NAND 闪存的存储密度主要通过采用四种基本技术来提高。分别是「高层化」「多值化」、「布局变更(存储单元阵列和 CMOS 外围电路的单片层叠)」、「微细化(缩短横向尺寸)」等。

这四种方法中,进步最大的恐怕就是「高层化」了。通过增加在垂直于硅衬底表面的方向上布置和连接的存储单元 (单元晶体管) 的数量,或者通过增加堆叠的单元晶体管的字线 (栅电极) 的数量来增加每硅面积的存储密度。

2013 年宣布开发和商业化时,层压层数为 24 层,从今天的角度来看,这似乎很小。尽管如此,当时的制造极其困难,业内人士传言,第二年决定开始商业化生产的三星电子的制造良率还不到一半。

之后,堆栈的数量迅速增加。四年后,即 2017 年,这个数字翻了两番,达到 96 个。八年后,即 2022 年,达到了 238 层,大约增加了 10 倍,而次年,即 2023 年,则突破了 300 层。

由于增强型多级存储器,存储器密度是平面 NAND 的 1.5 至 2 倍

简单对比一下,「高层化」对存储密度的贡献「10 年大约是 10 倍」。然而,正如已经提到的,实际上,这个数字在 10 年内增加了大约 30 倍。其余三倍的金额是通过其他基础技术实现的。

一个代表性的例子是「多值」。平面(2D)NAND 闪存中开始引入的多级存储一直以 2 位/单元(MLC)方法为主,而 3 位/单元(TLC)方法仍然处于边缘地位。除了 3D NAND 闪存的第一个原型是 MLC 之外,TLC 从一开始就成为主流。与平面 NAND 闪存相比,存储密度提高了 1.5 倍。

3D NAND 闪存进一步发展了多级存储技术,并将 4bit/cell(QLC)方法投入实际应用。这相当于平面 NAND 闪存 (MLC) 存储密度的两倍,是现有 3D NAND 闪存 (TLC) 存储密度的 1.33 倍。

字线层数(横轴)与存储密度(纵轴)之间的关系。TLC(3 位/单元)方法和 QLC(4 位/单元)方法之间的存储密度存在明显差异。

改变布局的巨大威力

我们能够确认,通过「高层化」和「多值(强化)」,存储密度将增加 10 倍×2 倍(最大)或 20 倍。当达到「30 倍」时,剩下的就是「1.5 倍」。这就是第三个基本技术「布局改变(存储单元阵列和 CMOS 外围电路的单片堆叠)」发挥作用的地方。

思路很简单,在变更布局之前,存储器单元阵列和 CMOS 外围电路在硅芯片上并排布局。存储器单元阵列的下部有硅基板,但没有制造电路。它被称为「空地」。通过在该空余空间中形成 CMOS 外围电路,将减少硅面积。当然,可以通过仅在存储单元阵列下方形成一些而非全部 CMOS 外围电路来增加存储密度。换句话说,存储密度的提高速度是由布局决定的。

例如,东芝存储器(现 Kioxia)与西部数据(以下简称 WD)联合开发团队在 2019 年国际会议 ISSCC 上公布的 3D NAND 闪存,其存储单元阵列具有两个平面(划分数)除了将平面数量从 1 个增加到 4 个之外,大部分 CMOS 外围电路都形成在存储单元阵列正下方的硅衬底上。注意,增加平面分割的数量的目的是提高操作速度或者缓解操作速度的下降。

增加平面划分的数量会增加行 (ROW) 解码器和列 (COLUMN) 解码器的数量并增加硅面积。东芝内存-WD 联盟表示,从 2 个平面改为 4 个平面将使硅芯片面积增加 15%。

如果将 CMOS 外围电路直接布置在存储单元阵列下方,则硅芯片面积的增加可以保持在仅 1%。简单计算一下,存储密度提升了 12% 左右。

将 CMOS 外围电路放置在存储单元阵列下方的布局更改(左)及其结果(右)。如果简单地将 2 个平面改为 4 个平面,硅面积就会增加 15%,但实际上硅面积仅增加了 1%。

横向「细化」虽小,作用却很大

剩下的就是「小型化(缩短横向尺寸)」。具体而言,垂直沟道 (存储器通孔) 的间距减小。虽然效果很大,但制造本身却极其困难。当从当前一代过渡到下一代时,存储器通孔的间距保持不变的情况并不少见。

3D NAND 闪存开发商的单位单元面积(左:相当于垂直通道的尺寸)和单位单元体积(右:相当于单元的垂直厚度和横向尺寸的乘积)。横轴是堆叠单元(字线)的数量(对应技术代数)。增加堆叠层数(建造高层建筑)并不一定等于减少面积和体积。反之,则可能会增加。

使用传统技术的高密度限制

随着存储密度的增加,这些传统技术在技术上变得更加困难。开发下一代 3D NAND 闪存就像攀登永无止境的斜坡,随着进步,角度也会越来越大。

各大 NAND Flash 公司自开发以来,经过反复的换代,目前的世代已经达到了第 6 代至第 8 代。斜坡已经变得相当陡峭,公司正在认真寻找替代路线。

让我们简要讨论一下每项基本技术的问题。

第一步是「高层化」。由于字线提取区域的扩大而导致效率降低,由于层数增加(垂直划分存储单元阵列的单元)而导致对准难度增加,工艺步骤数量增加以及字线变细。其中包括字线电阻的增加(一种缓解高层结构导致的存储器通孔深宽比增加的方法)、上下相邻单元之间的电干扰增加以及字线数量的减少。

单元晶体管栅极(字线)节距(垂直方向)与字线堆叠数量之间的关系。减少字线间距(更细的字线),同时增加字线堆叠的数量。

接下来我们来说说「多层次」的局限性。多级存储技术有一个固有的弱点,即随着位数的增加,效率下降,技术难度迅速增加。

从 1 位/单元 (SLC) 到 2 位/单元 (MLC) 的转变原则上使存储密度加倍。在随后从 MLC 到 3 位/单元 (TLC) 的转变中,存储密度的增长率大幅降低至 1.5 倍。从 TLC 到 4bit/cell(QLC)的过渡中,存储密度的增加率更低,为 1.33 倍。

另一方面,阈值电压阶跃的数量每 1 位加倍。TLC 有 8 个步骤(7 个步骤,不包括擦除),QLC 有 16 个步骤(15 个步骤,不包括擦除)。如果写入(编程)电压范围相同,则增加 1 位将使阈值电压裕度减少一半。尽管 QLC 已经商业化生产,但 PLC 的实际应用仍不清楚。

接下来是「布局变更(存储单元阵列和 CMOS 外围电路的单片堆叠)」。这种方法有两个主要缺点。一是一旦在一代引入,即使在下一代引入,效果也保持不变。其次,由于存储单元阵列是在形成 CMOS 外围电路之后制造的,所以在存储单元阵列工艺期间施加的热处理降低了 CMOS 外围电路的性能。换句话说,存在运行速度降低的风险。

CMOS 外围电路的性能下降和存储单元阵列的热处理温度之间存在折衷关系。外围电路和单元阵列的性能很难同时优化。此外,更高结构的进步可能会进一步恶化由于存储单元阵列的热处理而导致的外围电路的性能恶化。

最后一步是小型化。当垂直沟道(存储器通孔)做得更薄时,沟道电阻会增加。这导致单元晶体管的性能下降。垂直通道的直径只能减小到一定程度。

突破高密度极限的基础技术候选组

解决上述限制和问题的基本技术已经被提出,并且研究和开发正在进行,下面我们来介绍其中的一些。

将「超越高层化极限」的候选基础技术包括将字线金属从目前的钨(W)改为电阻率较低的金属(缓解字线电阻的增加),以及垂直沟道材料。由现在的多晶硅改为单晶硅(缓解沟道电阻的增加),将电荷俘获栅极绝缘膜由现在的氮氧化物膜改为铁电膜(不依赖于电子数量的介电膜)。除了目前存储单元阵列各层之间的单片连接外,还将引入混合晶圆键合(缓解工艺难度的增加)。

将「超越多值技术极限」的基本技术候选包括将单元晶体管的存储方法从当前的电荷陷阱技术改为浮动栅极技术(缓解阈值电压裕度降低)。

混合堆叠是一种候选基本技术,「超越了布局变化的限制(存储单元阵列和 CMOS 外围电路的单片堆叠)」。存储单元阵列和 CMOS 外围电路形成在不同的晶片上,并且将晶片接合在一起。这样做的优点是可以优化存储单元阵列和 CMOS 外围电路工艺。随着每个输入/输出引脚的传输速率超过 5 GT/s,引入混合堆叠的可能性就会增加。

拥有替代技术的候选者非常重要,即使它们不完整,以防当前技术达到其极限。3D NAND 闪存的高密度尚未达到极限。通过改进新的基本技术,甚至可以达到 1,000 层。这完全取决于你能在多大程度上投入你的资源。

]]>
//www.cazqn.com/article/202312/453861.htm Wed, 13 Dec 2023 13:45:37 +0800
<![CDATA[ 美国公布第一笔芯片法案补贴,并警告称晶圆厂建设可能会延迟 ]]> IT之家 12 月 13 日消息,美国商务部本周宣布了《芯片与科学法案》框架下首批半导体制造激励计划之一,美国国防承包商 BAE Systems 将获得第一笔联邦拨款,金额约为 3500 万美元。

据介绍,BAE Systems 将利用获得的 3500 万美元拨款,将其美国国内的 F-15 和 F-35 战斗机以及卫星和其他防御系统所用芯片的产量翻两番。这笔赠款旨在帮助确保更安全地供应对美国及其盟国至关重要的零部件。

随着美国商务部开始分配国会根据 2022 年《芯片与科学法案》授权的 390 亿美元(IT之家备注:当前约 2800.2 亿元人民币)联邦资金,这笔资金旨在激励在美国建设芯片工厂,并吸引近几十年来已流失海外的关键制造业回流。而此次向 BAE Systems 提供的补贴资金是未来几个月预计将颁发的多项补贴中的第一个。

美国商务部长吉娜・雷蒙多表示,预计明年将宣布数十项此类资助计划,其中一些涉及数十亿美元的投资。但她同时警告,一些芯片工厂项目仍可能出现延误。

雷蒙多还提到了美国半导体行业发展面临的一个重大挑战:标准环境审查可能导致的延误。在很大程度上,这造成了环境监管与国家安全目标之间的冲突。

雷蒙多告诉彭博社,“我们当然始终希望尽一切努力保护环境,但这是一项国家安全优先事项,我们需要迅速行动。”

雷蒙多担心这些环境审查程序会花费很长时间,这可能会将建设工作拖延数年。在共和党议员拒绝了她要求将联邦资助的芯片项目豁免于此类审查的请求后,这个问题变得更加突出。因此,包括英特尔、美光、台积电和三星在内的公司价值数十亿美元的大型项目都面临着被这些审查拖慢的风险。

目前,美国生产的芯片约占全球总量的 12%,远低于 1990 年的 40%,美国政府的目标是将其提升到 20% 左右。这得到了 390 亿美元的《芯片与科学法案》的支持,该计划是为每个项目提供其支出所需的 5% 至 15% 的资金,但总额不超过 35%。

该计划的一部分是在美国建立至少两个先进的制造中心(例如英特尔和台积电计划建立的制造中心),然后重新开始生产先进的内存芯片(美光已经宣布了这样的计划),并建立领先的封装设施(英特尔已经在这样做),该计划还将重点满足军方对不同类型芯片的需求。该计划吸引了众多公司参与,超过 550 家公司表示有意参与,近 150 家提交了申请或提案。

]]>
IT之家 12 月 13 日消息,美国商务部本周宣布了《芯片与科学法案》框架下首批半导体制造激励计划之一,美国国防承包商 BAE Systems 将获得第一笔联邦拨款,金额约为 3500 万美元。

据介绍,BAE Systems 将利用获得的 3500 万美元拨款,将其美国国内的 F-15 和 F-35 战斗机以及卫星和其他防御系统所用芯片的产量翻两番。这笔赠款旨在帮助确保更安全地供应对美国及其盟国至关重要的零部件。

随着美国商务部开始分配国会根据 2022 年《芯片与科学法案》授权的 390 亿美元(IT之家备注:当前约 2800.2 亿元人民币)联邦资金,这笔资金旨在激励在美国建设芯片工厂,并吸引近几十年来已流失海外的关键制造业回流。而此次向 BAE Systems 提供的补贴资金是未来几个月预计将颁发的多项补贴中的第一个。

美国商务部长吉娜・雷蒙多表示,预计明年将宣布数十项此类资助计划,其中一些涉及数十亿美元的投资。但她同时警告,一些芯片工厂项目仍可能出现延误。

雷蒙多还提到了美国半导体行业发展面临的一个重大挑战:标准环境审查可能导致的延误。在很大程度上,这造成了环境监管与国家安全目标之间的冲突。

雷蒙多告诉彭博社,“我们当然始终希望尽一切努力保护环境,但这是一项国家安全优先事项,我们需要迅速行动。”

雷蒙多担心这些环境审查程序会花费很长时间,这可能会将建设工作拖延数年。在共和党议员拒绝了她要求将联邦资助的芯片项目豁免于此类审查的请求后,这个问题变得更加突出。因此,包括英特尔、美光、台积电和三星在内的公司价值数十亿美元的大型项目都面临着被这些审查拖慢的风险。

目前,美国生产的芯片约占全球总量的 12%,远低于 1990 年的 40%,美国政府的目标是将其提升到 20% 左右。这得到了 390 亿美元的《芯片与科学法案》的支持,该计划是为每个项目提供其支出所需的 5% 至 15% 的资金,但总额不超过 35%。

该计划的一部分是在美国建立至少两个先进的制造中心(例如英特尔和台积电计划建立的制造中心),然后重新开始生产先进的内存芯片(美光已经宣布了这样的计划),并建立领先的封装设施(英特尔已经在这样做),该计划还将重点满足军方对不同类型芯片的需求。该计划吸引了众多公司参与,超过 550 家公司表示有意参与,近 150 家提交了申请或提案。

]]>
//www.cazqn.com/article/202312/453860.htm Wed, 13 Dec 2023 13:31:36 +0800
<![CDATA[ 深圳MCU芯片大厂集体“止血” ]]> 随着消费电子产业的复苏,被库存压得喘不过气的上游MCU芯片公司,终于迎来了一次释放。

12月11日,界面新闻记者从产业链资深人士处独家获悉:因为手机、电子烟、小家电等消费电子产品的复苏,大型MCU芯片公司在今年的第三、第四季度加速去库存,而国产MCU的车规级产品,也在三四季度加速向华为问界、长安等国产新能源汽车供货。

12月上旬,界面新闻记者先后在深圳走访了中微半导、国民技术和芯海科技三家MCU芯片上市公司,其相关负责人均对界面新闻记者确认:第三季度出货量比上半年有两位数增长,预计第四季度还会持续。像电子烟这一类的MCU产品,还出现了结构性的缺货。除此之外,车规级MCU上升势头明显。

“我快半年没见到客户了,最近终于主动来提货了,”一位深圳MCU上市公司的销售负责人对界面新闻记者说。

MCU是一种针对特定应用的控制处理而设计的微处理器芯片,类似于小型CPU,目前广泛应用于消费电子、汽车电子、家电、工业等场景中。

过去两年,MCU行业经历了过山车般的起落。

2021年,因为疫情影响和原材料价格上涨影响,MCU市场处于供不应求的状态,价格创下了25年来最大涨幅。行业里许多公司开始用囤货涨价的方式,获取巨额的利润。

然而从2022年开始,因为下游消费电子萎靡遇冷,加上芯片设计设厂与晶圆制造厂签署了“不可撤销订单”,MCU厂商库存积压越来越严重,曾经涨价几十倍的MCU,出现了雪崩式的下滑。

界面新闻记者了解到,从2023年下半年开始,随着华为苹果相继发布手机新产品,以及中国双十一和美国“黑五”的促销,全球消费电子市场开始有了复苏的迹象,从而带动了上游元器件产业的复苏。

MCU去库存的端倪已经从今年第三季度开始显现。

中微半导的财报显示,三季度单季度出货量突破4.7亿颗,本年度累计出货约12亿颗,已经超过上年度全年。三季度的存货周转天数为396.53天,较之一季度的486.75天以及二季度的448.77天有所下降;国民技术的财报也显示,截至9月30日,存货为8.02亿元,相较期初(今年1月1日)9.23亿元,减少了13.1%;芯海科技则显示,第三季度公司营收环比增长31.15%。

一位华强北MCU贸易人士对界面新闻说:这一轮消费电子复苏,主要利好的有客户资源的大公司,中小型MCU还在价格战和库存泥潭中,预计MCU行业会迎来一轮洗牌。

关于缺货状况,上述供应链人士解释:很多整机厂商并不知道MCU的生产周期是短则四个月,长则半年,所以一旦有了需求,并不能马上交货,因此就出现了结构性缺货。

界面新闻了解到,这轮消费电子除了手机之外,更重要的电子烟和中低端消费电子的需求增长。

如眼下风靡海外的一次性电子烟。以新兴中国电子烟品牌斯科尔为例,上半年营收超过14亿元,同比增长高达1477.33%。根据尼尔森的数据,今年8月,斯科尔目前已经是英国第二大电子烟品牌商。

中微半导方面向界面新闻记者确认,“电子烟客户需求最为旺盛,已经供货包括斯科尔在内的电子烟品牌,目前电子烟品类出现缺货”。

此外,消费降级趋势下,中低端消费电子需求增长更快,这也带动了国产MCU出货。“价格更便宜的消费电子卖得更好,所以终端厂商更在乎性价比,而国产MCU在成本上比国外的产品更有优势,如对标戴森的吹风机公司,用了国产MCU等器件,价格就可以降低不少”,一位深圳MCU上市公司高管说。

除此之外,国产MCU接下来最重要增长市场——车规级MCU,也在下半年开始有了加速向客户供应的趋势。

界面新闻了解到,深圳MCU大厂从两年前开始,就在加大车规级产品的投入和进入客户供应链体系。随着下半年以来,华为问界等产品热销,国产车规级MCU也随之进入了汽车客户。

“中微半导车规级MCU主要供应给了长安、东风、赛力斯等公司,主要用在了传感器、开关、大灯、天窗等控制功能上,其中在问界产品上,已经有超过10颗的供应量”,供应链人士说,今年11月开始有明显上升的趋势,明年车规级供应量估计会翻倍。

招商电子的研报也显示,杰发科技、芯海科技、兆易创新等公司MCU产品已经进入了华为汽车产业链。

根据中信证券的数据,每辆传统汽车平均用到70颗以上MCU,智能汽车则超300颗。而随着新能源汽车加速渗透,车规级MCU呈现快速增长之势。

然而全球车用MCU市场集中度很高,五大MCU巨头(意法半导体、英飞凌、恩智浦、瑞萨、微芯)就占据了将近70%的市场占比,因此国产MCU厂商有着广阔的市场空间。

“现在国产MUC厂商主要供应汽车的基础控制类MCU,未来要慢慢进入汽车安全这些高价值领域,市场空间才会足够大”,上述MCU公司高管评价。

]]>
随着消费电子产业的复苏,被库存压得喘不过气的上游MCU芯片公司,终于迎来了一次释放。

12月11日,界面新闻记者从产业链资深人士处独家获悉:因为手机、电子烟、小家电等消费电子产品的复苏,大型MCU芯片公司在今年的第三、第四季度加速去库存,而国产MCU的车规级产品,也在三四季度加速向华为问界、长安等国产新能源汽车供货。

12月上旬,界面新闻记者先后在深圳走访了中微半导、国民技术和芯海科技三家MCU芯片上市公司,其相关负责人均对界面新闻记者确认:第三季度出货量比上半年有两位数增长,预计第四季度还会持续。像电子烟这一类的MCU产品,还出现了结构性的缺货。除此之外,车规级MCU上升势头明显。

“我快半年没见到客户了,最近终于主动来提货了,”一位深圳MCU上市公司的销售负责人对界面新闻记者说。

MCU是一种针对特定应用的控制处理而设计的微处理器芯片,类似于小型CPU,目前广泛应用于消费电子、汽车电子、家电、工业等场景中。

过去两年,MCU行业经历了过山车般的起落。

2021年,因为疫情影响和原材料价格上涨影响,MCU市场处于供不应求的状态,价格创下了25年来最大涨幅。行业里许多公司开始用囤货涨价的方式,获取巨额的利润。

然而从2022年开始,因为下游消费电子萎靡遇冷,加上芯片设计设厂与晶圆制造厂签署了“不可撤销订单”,MCU厂商库存积压越来越严重,曾经涨价几十倍的MCU,出现了雪崩式的下滑。

界面新闻记者了解到,从2023年下半年开始,随着华为苹果相继发布手机新产品,以及中国双十一和美国“黑五”的促销,全球消费电子市场开始有了复苏的迹象,从而带动了上游元器件产业的复苏。

MCU去库存的端倪已经从今年第三季度开始显现。

中微半导的财报显示,三季度单季度出货量突破4.7亿颗,本年度累计出货约12亿颗,已经超过上年度全年。三季度的存货周转天数为396.53天,较之一季度的486.75天以及二季度的448.77天有所下降;国民技术的财报也显示,截至9月30日,存货为8.02亿元,相较期初(今年1月1日)9.23亿元,减少了13.1%;芯海科技则显示,第三季度公司营收环比增长31.15%。

一位华强北MCU贸易人士对界面新闻说:这一轮消费电子复苏,主要利好的有客户资源的大公司,中小型MCU还在价格战和库存泥潭中,预计MCU行业会迎来一轮洗牌。

关于缺货状况,上述供应链人士解释:很多整机厂商并不知道MCU的生产周期是短则四个月,长则半年,所以一旦有了需求,并不能马上交货,因此就出现了结构性缺货。

界面新闻了解到,这轮消费电子除了手机之外,更重要的电子烟和中低端消费电子的需求增长。

如眼下风靡海外的一次性电子烟。以新兴中国电子烟品牌斯科尔为例,上半年营收超过14亿元,同比增长高达1477.33%。根据尼尔森的数据,今年8月,斯科尔目前已经是英国第二大电子烟品牌商。

中微半导方面向界面新闻记者确认,“电子烟客户需求最为旺盛,已经供货包括斯科尔在内的电子烟品牌,目前电子烟品类出现缺货”。

此外,消费降级趋势下,中低端消费电子需求增长更快,这也带动了国产MCU出货。“价格更便宜的消费电子卖得更好,所以终端厂商更在乎性价比,而国产MCU在成本上比国外的产品更有优势,如对标戴森的吹风机公司,用了国产MCU等器件,价格就可以降低不少”,一位深圳MCU上市公司高管说。

除此之外,国产MCU接下来最重要增长市场——车规级MCU,也在下半年开始有了加速向客户供应的趋势。

界面新闻了解到,深圳MCU大厂从两年前开始,就在加大车规级产品的投入和进入客户供应链体系。随着下半年以来,华为问界等产品热销,国产车规级MCU也随之进入了汽车客户。

“中微半导车规级MCU主要供应给了长安、东风、赛力斯等公司,主要用在了传感器、开关、大灯、天窗等控制功能上,其中在问界产品上,已经有超过10颗的供应量”,供应链人士说,今年11月开始有明显上升的趋势,明年车规级供应量估计会翻倍。

招商电子的研报也显示,杰发科技、芯海科技、兆易创新等公司MCU产品已经进入了华为汽车产业链。

根据中信证券的数据,每辆传统汽车平均用到70颗以上MCU,智能汽车则超300颗。而随着新能源汽车加速渗透,车规级MCU呈现快速增长之势。

然而全球车用MCU市场集中度很高,五大MCU巨头(意法半导体、英飞凌、恩智浦、瑞萨、微芯)就占据了将近70%的市场占比,因此国产MCU厂商有着广阔的市场空间。

“现在国产MUC厂商主要供应汽车的基础控制类MCU,未来要慢慢进入汽车安全这些高价值领域,市场空间才会足够大”,上述MCU公司高管评价。

]]>
//www.cazqn.com/article/202312/453859.htm Wed, 13 Dec 2023 11:54:51 +0800
<![CDATA[ Arduino IDE中ESP32模拟信号读/写操作的方法 ]]>

ESP32数字信号读/写操作是指使用ESP32芯片的GPIO引脚进行数字信号的输入和输出,例如控制LED灯的亮灭或读取按键的状态。在Arduino IDE中,可以使用以下函数来实现数字信号读/写操作:

  • pinMode(pin, mode):用于设置GPIO引脚的模式,pin是引脚号,mode是模式,可以是INPUT(输入)、OUTPUT(输出)或INPUT_PULLUP(输入上拉)。

  • digitalWrite(pin, value):用于设置GPIO引脚的电平状态,pin是引脚号,value是电平值,可以是HIGH(高电平)或LOW(低电平)。

  • digitalRead(pin):用于读取GPIO引脚的电平状态,pin是引脚号,返回值是HIGH(高电平)或LOW(低电平)。

下面示例代码,使用ESP32的GPIO2和GPIO4分别连接一个按键和一个LED灯,实现按键控制LED灯的功能:

#define LED_GPIO 30 // LED灯连接的GPIO引脚#define BTN_GPIO 27 // 按键连接的GPIO引脚int BTN_State = 0; // 按键状态void setup() {
  pinMode(LED_GPIO, OUTPUT); // 设置LED灯为输出模式
  pinMode(BTN_GPIO, INPUT); // 设置按键为输入模式}void loop() {
  BTN_State = digitalRead(BTN_GPIO); // 读取按键状态
  digitalWrite(LED_GPIO, BTN_State); // 把按键状态作为LED灯的输出}



]]>

ESP32数字信号读/写操作是指使用ESP32芯片的GPIO引脚进行数字信号的输入和输出,例如控制LED灯的亮灭或读取按键的状态。在Arduino IDE中,可以使用以下函数来实现数字信号读/写操作:

  • pinMode(pin, mode):用于设置GPIO引脚的模式,pin是引脚号,mode是模式,可以是INPUT(输入)、OUTPUT(输出)或INPUT_PULLUP(输入上拉)。

  • digitalWrite(pin, value):用于设置GPIO引脚的电平状态,pin是引脚号,value是电平值,可以是HIGH(高电平)或LOW(低电平)。

  • digitalRead(pin):用于读取GPIO引脚的电平状态,pin是引脚号,返回值是HIGH(高电平)或LOW(低电平)。

下面示例代码,使用ESP32的GPIO2和GPIO4分别连接一个按键和一个LED灯,实现按键控制LED灯的功能:

#define LED_GPIO 30 // LED灯连接的GPIO引脚#define BTN_GPIO 27 // 按键连接的GPIO引脚int BTN_State = 0; // 按键状态void setup() {
  pinMode(LED_GPIO, OUTPUT); // 设置LED灯为输出模式
  pinMode(BTN_GPIO, INPUT); // 设置按键为输入模式}void loop() {
  BTN_State = digitalRead(BTN_GPIO); // 读取按键状态
  digitalWrite(LED_GPIO, BTN_State); // 把按键状态作为LED灯的输出}



]]>
//www.cazqn.com/article/202312/453858.htm Wed, 13 Dec 2023 11:14:58 +0800
<![CDATA[ 关于STM32F4的总线架构,你了解多少? ]]>


STM32F4是一款基于ARM Cortex-M4内核的高性能微控制器,它具有丰富的内部和外部总线接口,可以实现高速的数据传输和并发访问。

STM32F4的总线架构主要由以下几部分组成:

  • 内核总线:这是连接CPU内核和其他部件的总线,包括数据总线(D-BUS)、指令总线(I-BUS)和系统总线(S-BUS)。这三条总线分别用于CPU内核进行数据加载、指令取址和外设访问。

  • AHB总线矩阵:这是STM32F4的交通枢纽,它使用一个轮询算法来进行主节点间仲裁访问。它连接了内核总线和其他七条主要部件总线,分别是DMA1内存总线、DMA2内存总线、DMA2外设总线、以太网DMA总线、USB OTG HS DMA总线、ICode总线和DCode总线。

  • AHB/APB桥接:这是连接AHB总线和APB总线的桥接器,它提供了独立的异步访问,因此可以独立设置外设的频率1。系统中有两路APB到AHB的桥接,分别是APB1和APB21。

  • APB外设:这是连接在APB总线上的外设,包括定时器、串口、SPI、I2C、CAN等。

  • AHB外设:这是连接在AHB总线上的外设,包括GPIO、CRC、RNG、FSMC等。

  • 存储器:这是STM32F4的数据存储区域,包括内部Flash存储器、SRAM1、SRAM2和CCM数据存储器等12。其中CCM数据存储器是一个紧耦合存储器,只能由CPU内核直接访问。

下图是STM32F4的总线架构示意图:

image.png

]]>


STM32F4是一款基于ARM Cortex-M4内核的高性能微控制器,它具有丰富的内部和外部总线接口,可以实现高速的数据传输和并发访问。

STM32F4的总线架构主要由以下几部分组成:

  • 内核总线:这是连接CPU内核和其他部件的总线,包括数据总线(D-BUS)、指令总线(I-BUS)和系统总线(S-BUS)。这三条总线分别用于CPU内核进行数据加载、指令取址和外设访问。

  • AHB总线矩阵:这是STM32F4的交通枢纽,它使用一个轮询算法来进行主节点间仲裁访问。它连接了内核总线和其他七条主要部件总线,分别是DMA1内存总线、DMA2内存总线、DMA2外设总线、以太网DMA总线、USB OTG HS DMA总线、ICode总线和DCode总线。

  • AHB/APB桥接:这是连接AHB总线和APB总线的桥接器,它提供了独立的异步访问,因此可以独立设置外设的频率1。系统中有两路APB到AHB的桥接,分别是APB1和APB21。

  • APB外设:这是连接在APB总线上的外设,包括定时器、串口、SPI、I2C、CAN等。

  • AHB外设:这是连接在AHB总线上的外设,包括GPIO、CRC、RNG、FSMC等。

  • 存储器:这是STM32F4的数据存储区域,包括内部Flash存储器、SRAM1、SRAM2和CCM数据存储器等12。其中CCM数据存储器是一个紧耦合存储器,只能由CPU内核直接访问。

下图是STM32F4的总线架构示意图:

image.png

]]>
//www.cazqn.com/article/202312/453857.htm Wed, 13 Dec 2023 11:12:50 +0800
<![CDATA[ 艾睿电子在英国设立高功率卓越中心,加速电气化和可持续性发展 ]]> 全球技术解决方案供应商艾睿电子公司及其旗下工程服务公司eInfochips日前宣布,将在英国斯温顿设立高功率卓越中心(Centre of Excellence)。该中心旨在协助客户开发高功率解决方案,深化在电气化和可持续发展应用领域的发展。

高功率设计在实现能源效率方面发挥着关键作用,对于电动汽车、可再生能源、电池储能、电网基础设施和其他各种净零排放项目至关重要。然而,高功率电子设计极具复杂性,包括极高的电力专业知识要求、严格的功能安全性和可靠性要求、繁杂的印刷电路板布局以及昂贵的测试设备等,都给创新者带来了挑战。

1702437169237492.jpg

艾睿电子高功率卓越中心的战略定位就是应对这些挑战。该中心在斯温顿设有一个高功率实验室,并拥有一支来自 eInfochips 的工程团队,具备丰富的高压设计经验。其目标是帮助创新者有效驾驭复杂的高功率电子设计。

艾睿电子全球工程与设计服务副总裁 Murdoch Fitzgerald 表示:“人们对脱碳问题的关注日益增加,从而推进电气化进程。高压电子产品对此起到了关键作用,因此艾睿电子提供可靠团队,以帮助客户有效地完成能源转型。斯温顿卓越中心具备实验室设施和专业工程人才资源。该中心的可调控的高功率电源设备可以支持电子设计研发,技术团队也提供‘交钥匙式’设计服务。”

高功率卓越中心将为艾睿电子及其子公司(如 Richardson RFPD)的所有客户设计创新尖端产品。通过加快设计周期和降低风险、利用斯温顿的功率设备以及世界一流的工程人才,客户可以有效地规划和管理他们的高功率产品路线图和生命周期。

]]>
全球技术解决方案供应商艾睿电子公司及其旗下工程服务公司eInfochips日前宣布,将在英国斯温顿设立高功率卓越中心(Centre of Excellence)。该中心旨在协助客户开发高功率解决方案,深化在电气化和可持续发展应用领域的发展。

高功率设计在实现能源效率方面发挥着关键作用,对于电动汽车、可再生能源、电池储能、电网基础设施和其他各种净零排放项目至关重要。然而,高功率电子设计极具复杂性,包括极高的电力专业知识要求、严格的功能安全性和可靠性要求、繁杂的印刷电路板布局以及昂贵的测试设备等,都给创新者带来了挑战。

1702437169237492.jpg

艾睿电子高功率卓越中心的战略定位就是应对这些挑战。该中心在斯温顿设有一个高功率实验室,并拥有一支来自 eInfochips 的工程团队,具备丰富的高压设计经验。其目标是帮助创新者有效驾驭复杂的高功率电子设计。

艾睿电子全球工程与设计服务副总裁 Murdoch Fitzgerald 表示:“人们对脱碳问题的关注日益增加,从而推进电气化进程。高压电子产品对此起到了关键作用,因此艾睿电子提供可靠团队,以帮助客户有效地完成能源转型。斯温顿卓越中心具备实验室设施和专业工程人才资源。该中心的可调控的高功率电源设备可以支持电子设计研发,技术团队也提供‘交钥匙式’设计服务。”

高功率卓越中心将为艾睿电子及其子公司(如 Richardson RFPD)的所有客户设计创新尖端产品。通过加快设计周期和降低风险、利用斯温顿的功率设备以及世界一流的工程人才,客户可以有效地规划和管理他们的高功率产品路线图和生命周期。

]]>
//www.cazqn.com/article/202312/453856.htm Wed, 13 Dec 2023 11:12:32 +0800
<![CDATA[ 韩国总统到访之际,ASML与三星达成7.52亿美元的芯片厂协议 ]]> 周二,荷兰科技巨头ASML和三星(Samsung)签署了一项价值约7亿欧元的协议,将在韩国建设一家半导体研究厂。与此同时,韩国总统尹锡悦(Yoon Suk Yeol)结束了这次以科技为重点的访问的第一天。

b0c4372adf98168d266893d4e2931b0.jpg

尹锡悦是第一位到访ASML高度安全的“无尘室”的外国领导人,这次到访荷兰的目的是在这两个全球半导体大国之间结成“芯片联盟”。

他参观了ASML的城市规模设施,该公司制造先进的机器来制造半导体芯片,为从智能手机到汽车的一切提供动力。

ASML和三星后来同意“未来共同”投资该设施,该设施将“使用下一代EUV(极紫外光刻)设备开发尖端半导体处理技术”。

尹锡悦在接受法新社独家书面采访时表示,半导体是韩国和荷兰关系的“关键”,此后他成为1961年两国建交以来第一位到访的韩国领导人。

尹锡悦称,ASML在该领域的创新“是推动人工智能(AI)和5G通信等领域界限的工业革命的主要驱动力”。

“随着与中国的半导体行业竞争日趋激烈,在战略上比以往任何时候都更加重要,这使得这次荷兰之行显得尤为有意义”,尹锡悦在接受法新社采访时补充说。

主要芯片制造商三星电子(SamsungElectronics)和SK海力士(SKHynix)的负责人陪同尹锡悦访问了ASML。

这两家韩国公司受到了对华出口限制的影响,因为它们的大部分生产,特别是高级DRAM和闪存芯片,都是在中国生产的。

尹锡悦对法新社表示,他对ASML的访问将标志着两国关系的“重要转折点”,访问期间讨论芯片合作是他“最优先”的事情。

–“芯片联盟”–

他的办公室表示,两国希望结成一个“芯片联盟”,让政府、企业和研究型大学参与其中。

尹锡悦和荷兰首相马克·拉特周三举行会晤后,两国有望签署几项协议。

荷兰和韩国已经建立了牢固的贸易关系。

荷兰政府表示,韩国是荷兰在亚洲的第三大贸易伙伴,韩国是荷兰在欧盟的第二大贸易伙伴。

在2022年11月尹锡悦和拉特举行的峰会上,两国签署了“战略伙伴关系”,包括加强半导体关系的承诺。

这次访问以荷兰国王威廉-亚历山大(Willem-Alexander)举行的欢迎仪式为开场白,然后才去了位于荷兰南部费尔德霍芬的ASML。

在阿姆斯特丹历史悠久的大坝中央广场举行的仪式上,尹锡悦和威廉-亚历山大视察了仪仗队,并与挥舞着韩国和荷兰国旗的儿童聊天。

尹锡悦将于周三前往海牙与拉特举行会晤,此后两人将举行新闻发布会。

]]>
周二,荷兰科技巨头ASML和三星(Samsung)签署了一项价值约7亿欧元的协议,将在韩国建设一家半导体研究厂。与此同时,韩国总统尹锡悦(Yoon Suk Yeol)结束了这次以科技为重点的访问的第一天。

b0c4372adf98168d266893d4e2931b0.jpg

尹锡悦是第一位到访ASML高度安全的“无尘室”的外国领导人,这次到访荷兰的目的是在这两个全球半导体大国之间结成“芯片联盟”。

他参观了ASML的城市规模设施,该公司制造先进的机器来制造半导体芯片,为从智能手机到汽车的一切提供动力。

ASML和三星后来同意“未来共同”投资该设施,该设施将“使用下一代EUV(极紫外光刻)设备开发尖端半导体处理技术”。

尹锡悦在接受法新社独家书面采访时表示,半导体是韩国和荷兰关系的“关键”,此后他成为1961年两国建交以来第一位到访的韩国领导人。

尹锡悦称,ASML在该领域的创新“是推动人工智能(AI)和5G通信等领域界限的工业革命的主要驱动力”。

“随着与中国的半导体行业竞争日趋激烈,在战略上比以往任何时候都更加重要,这使得这次荷兰之行显得尤为有意义”,尹锡悦在接受法新社采访时补充说。

主要芯片制造商三星电子(SamsungElectronics)和SK海力士(SKHynix)的负责人陪同尹锡悦访问了ASML。

这两家韩国公司受到了对华出口限制的影响,因为它们的大部分生产,特别是高级DRAM和闪存芯片,都是在中国生产的。

尹锡悦对法新社表示,他对ASML的访问将标志着两国关系的“重要转折点”,访问期间讨论芯片合作是他“最优先”的事情。

–“芯片联盟”–

他的办公室表示,两国希望结成一个“芯片联盟”,让政府、企业和研究型大学参与其中。

尹锡悦和荷兰首相马克·拉特周三举行会晤后,两国有望签署几项协议。

荷兰和韩国已经建立了牢固的贸易关系。

荷兰政府表示,韩国是荷兰在亚洲的第三大贸易伙伴,韩国是荷兰在欧盟的第二大贸易伙伴。

在2022年11月尹锡悦和拉特举行的峰会上,两国签署了“战略伙伴关系”,包括加强半导体关系的承诺。

这次访问以荷兰国王威廉-亚历山大(Willem-Alexander)举行的欢迎仪式为开场白,然后才去了位于荷兰南部费尔德霍芬的ASML。

在阿姆斯特丹历史悠久的大坝中央广场举行的仪式上,尹锡悦和威廉-亚历山大视察了仪仗队,并与挥舞着韩国和荷兰国旗的儿童聊天。

尹锡悦将于周三前往海牙与拉特举行会晤,此后两人将举行新闻发布会。

]]>
//www.cazqn.com/article/202312/453854.htm Wed, 13 Dec 2023 11:08:19 +0800
<![CDATA[ 千兆多媒体串行链路(GMSL)相机用作GigE Vision相机的替代方案 ]]>

背景知识

GigE Vision是基于以太网基础架构和协议的网络相机接口标准。它广泛用于工业领域。ADI公司的GMSL是一种专门用于视频数据传输的点对点串行链路技术,最初是为汽车摄像头和显示应用而设计的。

这两种技术都旨在扩展图像传感器视频数据的传输距离,但每种解决方案有其各自的特性。多年来,我们看到越来越多的GMSL相机在汽车之外的领域得到采用,它们通常作为GigE Vision相机的替代方案。

典型系统架构

图像传感器连接

GigE Vision相机的信号链(如图1所示)通常由三个主要元件组成:图像传感器、处理器和以太网PHY。处理器将图像传感器中的原始图像数据转换为以太网帧,该过程通常涉及图像处理和压缩或帧缓冲,以使数据速率适合以太网支持的带宽。

image.png

图1 GigE Vision相机传感器侧的主要信号链元件

GMSL相机的信号链(如图2所示)通常更加简单,仅包含图像传感器和串行器。在典型应用中,串行器转换图像传感器中的原始数据,然后以其原始格式通过链路发送。这些相机无需处理器,设计更简单,更适合需要小尺寸相机和低功耗的应用。

image.png

图2 GMSL相机传感器侧的主要信号链元件

主机处理器连接

GigE Vision相机因其与众多主机设备的兼容性而受到业界的广泛认可。千兆以太网端口几乎是个人计算机(PC)或嵌入式平台的标准配置。一些GigE Vision相机可以使用通用驱动程序,提供真正的即插即用体验。

GMSL相机需要主机侧提供解串器。在大多数用例中,主机设备是带有一个或多个解串器的定制嵌入式平台。解串器通过其MIPI发送器以图像传感器MIPI输出的原始格式传输图像数据。对于此类相机,每种定制相机设计都需要一个匹配的驱动程序,就像任何其他MIPI摄像头一样。然而,如果图像传感器的驱动程序已存在,则一对SerDes只需要几个预设寄存器或执行几次寄存器写操作,就能将视频流从相机传输到SoC。

1702436823177621.png

图3 典型GigE Vision网络

当仅使用一个相机时,GigE Vision在系统复杂性方面可能比GMSL有一些优势,因为它可以直接连接到具有以太网端口的PC或嵌入式平台。然而,当使用多个GigE相机时,就需要以太网交换机。它可以是专用以太网交换机设备、具有多个以太网端口的网络接口卡(NIC)或多个以太网端口与SoC之间的以太网交换IC。在某些情况下,这将导致最大总数据速率降低,更糟糕的是,这将带来不可预测的延迟,具体情况取决于相机和终端设备之间的接口。参见图3。

在GMSL相机系统中,一个解串器可以连接多达四个链路,其MIPI C-PHY或D-PHY发送器支持所有四个相机的总带宽。只要SoC能够应对聚合后的数据速率,使用一个或多个GMSL器件就不会影响带宽或增加过多系统复杂性。

image.png

图4 典型GMSL相机到主机的连接

特性比较

传感器接口

GMSL串行器仅支持并行LVDS (GMSL1)和MIPI (GMSL2/GMSL3)传感器接口。MIPI是消费电子和汽车摄像头广泛使用的图像传感器接口,因此GMSL相机可以支持种类众多的图像传感器。然而,由于GigE Vision相机内部使用了处理器,其在传感器接口方面更加灵活。

视频规格

工作原理

图5显示了连续视频流中数据从图像传感器传输到GMSL链路或GigE网络的时序图示例。

在视频流的每一帧中,图像传感器在曝光周期之后立即发出数据,然后在下一帧开始之前进入空闲状态。示例图更好地展示了全局快门传感器的情况。对于滚动快门传感器,其曝光和读出是每行单独控制的,因此帧级别上的曝光和读出周期会有重叠。

传感器侧的GMSL串行器对图像传感器中的数据进行串行化,然后立即通过其专有协议将数据传输到链路。

GigE Vision相机中的处理器会缓冲并且通常还会处理图像传感器中的数据,然后将视频数据排列在以太网帧中并将其发送到网络。

链路速率

链路速率规定了链路上数据传输的理论最大速度。当比较不同数据链路技术时,链路速率通常是关键指标。GMSL2、GMSL3和GigE Vision均使用离散的固定链路速率。

1702436858254322.png

图5 视频传输时序图

GMSL2支持3 Gbps和6 Gbps的数据速率。GMSL3支持12 Gbps的数据速率,并且所有GMSL3设备都向后兼容使用GMSL2协议的GMSL2设备。

GigE Vision遵循以太网标准。GigE、2.5 GigE、5 GigE和10 GigE Vision相机经常出现在常见应用中。顾名思义,它们分别支持1 Gbps至高达10 Gbps的链路速率。先进的GigE Vision相机将支持100 Gbps链路速率的100 GigE。1对于GigE Vision,所有高速协议都将向后支持低速协议。

尽管链路速率与视频分辨率、帧速率和延迟密切相关,但仅根据链路速率很难对这两种技术进行直接比较。

有效视频数据速率

在数据通信中,有效数据速率描述了不包括协议开销的数据速率容量,此概念也适用于视频数据通信。通常,一个数据包或一帧中传输的有效视频数据量为:像素位深度×像素数。图6说明了有效视频数据和开销之间的关系。

1702436880510198.png

图6 数据帧/数据包中的有效载荷和开销

GMSL以数据包的形式传输视频数据。GMSL2和GMSL3设备使用固定的数据包大小,因此有效视频数据速率也有明确定义。以GMSL2设备为例。当链路设置为6 Gbps时,建议使用不超过5.2 Gbps的视频带宽。然而,由于链路还承载来自传感器MIPI接口的一些开销和消隐时间,因此5.2 Gbps反映了所有输入MIPI数据通道的聚合数据速率,而不是每秒5.2 Gb的视频数据。

以太网以帧的形式传输数据。GigE Vision没有标准帧大小,它通常作为软件解决方案的一个权衡因素来提高效率(长帧的优势)或减少延迟(短帧的优势)。对于这些相机,开销通常不超过5%。较高速度的以太网会降低使用长帧的风险,以实现更好的有效视频数据速率。

这两种技术都以突发方式传输数据。因此,较长期间(一个视频帧或更长时间)内的平均数据速率甚至可能低于传输期间的有效视频数据速率。对于GMSL相机,突发时间仅取决于图像传感器的读出时间,实际应用中的突发比可能达到100%以支持完整的有效视频数据速率。GigE Vision相机可能用在更复杂和不可预测的网络环境中,在这种情况下,为了避免数据冲突,突发比通常较低。示例参见图7。

分辨率和帧速率

分辨率和帧速率是摄像机的两个至关重要的规格,它们是提高链路速率的关键驱动因素。对于这些规格,两种技术各有利弊。

GMSL设备不提供帧缓冲和处理。分辨率和帧速率全部取决于图像传感器或传感器侧ISP在链路带宽内的支持能力,而这通常是分辨率、帧速率和像素位深度之间的简单权衡。

GigE Vision的模型更为复杂。尽管在许多情况下其可用链路速率比GMSL慢,但它可以利用额外的缓冲和压缩来支持更高分辨率和/或更高帧速率。然而,这一切的代价是延迟和功耗的增加,并且相机系统两侧需要使用昂贵的元件。在一些不太常见的用例中,此类相机也以较低帧速率传输原始图像数据。

延迟

延迟是摄像机的另一个关键规格,尤其是在实时处理数据和做出决策的应用中。

从串行器的输入/传感器的输出到解串器的输出/接收SoC的输入,GMSL相机系统的延迟较低且具有确定性。

由于内部处理和更复杂的网络流量,GigE Vision相机的延迟通常较高且不具有确定性。然而,这些延迟并不总是会导致系统级延迟更长,尤其是当相机侧处理属于系统图像流水线的一部分且更专用、更高效时。

其他特性

传输距离

根据设计,GMSL串行器和解串器可在乘用车中使用同轴电缆将数据传输15米之远。但是,只要相机硬件系统满足GMSL通道规范,则传输距离不限于15米。

1702436905243766.png

图7 GMSL和GigE Vision网络的数据流量

通过以太网协议,GigE Vision可以使用铜缆将数据传输100米之远,使用光纤甚至可以更远,不过它可能会失去一些特性,例如以太网供电(PoE)。

PoC和PoE/PoDL

这两种技术都能够通过同一根电缆传输电力和数据。GMSL使用同轴电缆供电(PoC),GigE Vision针对4对以太网使用PoE,针对单对以太网(SPE)使用数据线供电(PoDL)。大多数GigE Vision相机使用传统的4对线和PoE。

PoC很简单,采用同轴电缆配置的相机应用通常默认使用这种方式。在这种配置中,链路上的电力和数据来自单根电线,并且PoC电路仅需要几个无源元件。

支持1 Gbps或更高数据速率的PoE电路需要专用电路,相机和主机(或交换机)侧均需要有源元件。这使得PoE功能成本更高且不易获得。支持PoE的GigE Vision相机通常还具有本地外部供电选项。

外设控制和系统连接

GMSL作为专用相机或显示器链路,其并非设计用来支持各种各样的外围设备。在典型的GMSL相机应用中,链路传输控制信号(UART、I2C和SPI),仅与温度传感器、环境光传感器、IMU、LED控制器等相机外设进行通信。使用GMSL作为相机接口的较大系统通常还有其他低速接口,例如CAN和以太网,以便与其他设备通信。

GigE Vision相机一般利用其内置处理器处理相机外设控制。作为工业应用中流行的连接解决方案,工业以太网有多种标准协议来支持多样化的机器和设备,GigE Vision相机通过其软件和硬件接口直接连接到网络。

相机触发和时间戳

GMSL链路的正向和反向通道均支持微秒级的低延迟GPIO和I2C信号隧道,从而支持不同的相机触发/同步配置。GMSL相机系统中的触发信号源可以来自解串器侧的SoC,也可以来自串行器侧的图像传感器之一。

GigE Vision相机通常通过专用引脚/端口或以太网触发/同步数据包来提供硬件和软件触发选项。在典型应用中,硬件触发作为标准方法,用于与其他相机或非相机设备进行响应灵敏且准确的同步。这些相机的软件触发的主要问题是网络延迟。尽管有一些协议可用于提高同步精度,但它们要么精度不够高(网络时间协议(NTP),同步到毫秒级2),要么性价比不高(精密时间协议(PTP),同步到微秒级3,但需要兼容的硬件)。

当在以太网上使用同步协议时,来自同一网络的所有设备(包括GigE Vision相机)将能够在同一时钟域中提供时间戳。

GMSL没有时间戳功能。有些图像传感器可以通过MIPI嵌入式报头提供时间戳,但这通常不与更高级别系统上的其他设备相关。在某些系统架构中,GMSL解串器会连接到PTP网络上的SoC以使用集中式时钟。如果需要此功能,请使用AD-GMSL2ETH-SL作为参考。

结语

总之(参见表1),GMSL是现有GigE Vision解决方案的有力替代方案。与GigE Vision相机相比,GMSL相机通常能以更低的成本、更低的功耗、更简单的系统架构和更小的系统尺寸提供同等或更好的链路速率和特性。此外,由于GMSL最初是为汽车应用而设计的,因此它已经在恶劣的环境中经过了汽车工程师几十年的验证。在可靠性和功能安全至关重要的系统开发中,GMSL将为工程师和系统架构师提供信心保证。

表1 GMSL与GigE Vision主要特性比较


GMSL

GigE Vision

拓扑结构

点对点

点对点或通过网络交换机

数据链路速率(Gbps)

3/6/12,专用

1/2.5/5/10,共享

传感器接口来自PHY

是,MIPI   D-PHY/C-PHY

控制信号

实时

当网络空闲时

视频压缩

视频延迟

低且具确定性

高(视频处理)、不具确定性(网络条件)

相机触发

双向直通链路,µS级延迟

触发引脚(附加硬件)、以太网数据包(延迟不具确定性)

尺寸

5   mm × 5 mm(GMSL2串行器)4

≥5 mm × 5 mm (GigE PHY)5,处理器除外

功耗

260   mW(GMSL2串行器)4

> 300 mW (GigE PHY)6,处理器除外

即插即用

否,需要MIPI驱动程序

电缆供电

简单,无源网络

复杂,有源元件

标准网络同步协议

传输距离

≤15 m(GMSL2,6 Gbps)

*假设老化,105°C LEONI Dacar 302同轴电缆

(–1.1 dB/m)

≤100 m

关于作者

Kainan Wang是ADI公司在美国马萨诸塞州威明顿市的汽车座舱体验(ACE)部门的系统应用工程师。他从马萨诸塞州波士顿市东北大学获得电气工程硕士学位后,于2016年加入ADI公司。Kainan一直从事2D/3D成像解决方案的工作,涉及硬件开发、系统集成和应用开发等各方面。最近,他的工作重点是将ADI汽车座舱技术拓展到汽车以外的其他市场。

]]>

背景知识

GigE Vision是基于以太网基础架构和协议的网络相机接口标准。它广泛用于工业领域。ADI公司的GMSL是一种专门用于视频数据传输的点对点串行链路技术,最初是为汽车摄像头和显示应用而设计的。

这两种技术都旨在扩展图像传感器视频数据的传输距离,但每种解决方案有其各自的特性。多年来,我们看到越来越多的GMSL相机在汽车之外的领域得到采用,它们通常作为GigE Vision相机的替代方案。

典型系统架构

图像传感器连接

GigE Vision相机的信号链(如图1所示)通常由三个主要元件组成:图像传感器、处理器和以太网PHY。处理器将图像传感器中的原始图像数据转换为以太网帧,该过程通常涉及图像处理和压缩或帧缓冲,以使数据速率适合以太网支持的带宽。

image.png

图1 GigE Vision相机传感器侧的主要信号链元件

GMSL相机的信号链(如图2所示)通常更加简单,仅包含图像传感器和串行器。在典型应用中,串行器转换图像传感器中的原始数据,然后以其原始格式通过链路发送。这些相机无需处理器,设计更简单,更适合需要小尺寸相机和低功耗的应用。

image.png

图2 GMSL相机传感器侧的主要信号链元件

主机处理器连接

GigE Vision相机因其与众多主机设备的兼容性而受到业界的广泛认可。千兆以太网端口几乎是个人计算机(PC)或嵌入式平台的标准配置。一些GigE Vision相机可以使用通用驱动程序,提供真正的即插即用体验。

GMSL相机需要主机侧提供解串器。在大多数用例中,主机设备是带有一个或多个解串器的定制嵌入式平台。解串器通过其MIPI发送器以图像传感器MIPI输出的原始格式传输图像数据。对于此类相机,每种定制相机设计都需要一个匹配的驱动程序,就像任何其他MIPI摄像头一样。然而,如果图像传感器的驱动程序已存在,则一对SerDes只需要几个预设寄存器或执行几次寄存器写操作,就能将视频流从相机传输到SoC。

1702436823177621.png

图3 典型GigE Vision网络

当仅使用一个相机时,GigE Vision在系统复杂性方面可能比GMSL有一些优势,因为它可以直接连接到具有以太网端口的PC或嵌入式平台。然而,当使用多个GigE相机时,就需要以太网交换机。它可以是专用以太网交换机设备、具有多个以太网端口的网络接口卡(NIC)或多个以太网端口与SoC之间的以太网交换IC。在某些情况下,这将导致最大总数据速率降低,更糟糕的是,这将带来不可预测的延迟,具体情况取决于相机和终端设备之间的接口。参见图3。

在GMSL相机系统中,一个解串器可以连接多达四个链路,其MIPI C-PHY或D-PHY发送器支持所有四个相机的总带宽。只要SoC能够应对聚合后的数据速率,使用一个或多个GMSL器件就不会影响带宽或增加过多系统复杂性。

image.png

图4 典型GMSL相机到主机的连接

特性比较

传感器接口

GMSL串行器仅支持并行LVDS (GMSL1)和MIPI (GMSL2/GMSL3)传感器接口。MIPI是消费电子和汽车摄像头广泛使用的图像传感器接口,因此GMSL相机可以支持种类众多的图像传感器。然而,由于GigE Vision相机内部使用了处理器,其在传感器接口方面更加灵活。

视频规格

工作原理

图5显示了连续视频流中数据从图像传感器传输到GMSL链路或GigE网络的时序图示例。

在视频流的每一帧中,图像传感器在曝光周期之后立即发出数据,然后在下一帧开始之前进入空闲状态。示例图更好地展示了全局快门传感器的情况。对于滚动快门传感器,其曝光和读出是每行单独控制的,因此帧级别上的曝光和读出周期会有重叠。

传感器侧的GMSL串行器对图像传感器中的数据进行串行化,然后立即通过其专有协议将数据传输到链路。

GigE Vision相机中的处理器会缓冲并且通常还会处理图像传感器中的数据,然后将视频数据排列在以太网帧中并将其发送到网络。

链路速率

链路速率规定了链路上数据传输的理论最大速度。当比较不同数据链路技术时,链路速率通常是关键指标。GMSL2、GMSL3和GigE Vision均使用离散的固定链路速率。

1702436858254322.png

图5 视频传输时序图

GMSL2支持3 Gbps和6 Gbps的数据速率。GMSL3支持12 Gbps的数据速率,并且所有GMSL3设备都向后兼容使用GMSL2协议的GMSL2设备。

GigE Vision遵循以太网标准。GigE、2.5 GigE、5 GigE和10 GigE Vision相机经常出现在常见应用中。顾名思义,它们分别支持1 Gbps至高达10 Gbps的链路速率。先进的GigE Vision相机将支持100 Gbps链路速率的100 GigE。1对于GigE Vision,所有高速协议都将向后支持低速协议。

尽管链路速率与视频分辨率、帧速率和延迟密切相关,但仅根据链路速率很难对这两种技术进行直接比较。

有效视频数据速率

在数据通信中,有效数据速率描述了不包括协议开销的数据速率容量,此概念也适用于视频数据通信。通常,一个数据包或一帧中传输的有效视频数据量为:像素位深度×像素数。图6说明了有效视频数据和开销之间的关系。

1702436880510198.png

图6 数据帧/数据包中的有效载荷和开销

GMSL以数据包的形式传输视频数据。GMSL2和GMSL3设备使用固定的数据包大小,因此有效视频数据速率也有明确定义。以GMSL2设备为例。当链路设置为6 Gbps时,建议使用不超过5.2 Gbps的视频带宽。然而,由于链路还承载来自传感器MIPI接口的一些开销和消隐时间,因此5.2 Gbps反映了所有输入MIPI数据通道的聚合数据速率,而不是每秒5.2 Gb的视频数据。

以太网以帧的形式传输数据。GigE Vision没有标准帧大小,它通常作为软件解决方案的一个权衡因素来提高效率(长帧的优势)或减少延迟(短帧的优势)。对于这些相机,开销通常不超过5%。较高速度的以太网会降低使用长帧的风险,以实现更好的有效视频数据速率。

这两种技术都以突发方式传输数据。因此,较长期间(一个视频帧或更长时间)内的平均数据速率甚至可能低于传输期间的有效视频数据速率。对于GMSL相机,突发时间仅取决于图像传感器的读出时间,实际应用中的突发比可能达到100%以支持完整的有效视频数据速率。GigE Vision相机可能用在更复杂和不可预测的网络环境中,在这种情况下,为了避免数据冲突,突发比通常较低。示例参见图7。

分辨率和帧速率

分辨率和帧速率是摄像机的两个至关重要的规格,它们是提高链路速率的关键驱动因素。对于这些规格,两种技术各有利弊。

GMSL设备不提供帧缓冲和处理。分辨率和帧速率全部取决于图像传感器或传感器侧ISP在链路带宽内的支持能力,而这通常是分辨率、帧速率和像素位深度之间的简单权衡。

GigE Vision的模型更为复杂。尽管在许多情况下其可用链路速率比GMSL慢,但它可以利用额外的缓冲和压缩来支持更高分辨率和/或更高帧速率。然而,这一切的代价是延迟和功耗的增加,并且相机系统两侧需要使用昂贵的元件。在一些不太常见的用例中,此类相机也以较低帧速率传输原始图像数据。

延迟

延迟是摄像机的另一个关键规格,尤其是在实时处理数据和做出决策的应用中。

从串行器的输入/传感器的输出到解串器的输出/接收SoC的输入,GMSL相机系统的延迟较低且具有确定性。

由于内部处理和更复杂的网络流量,GigE Vision相机的延迟通常较高且不具有确定性。然而,这些延迟并不总是会导致系统级延迟更长,尤其是当相机侧处理属于系统图像流水线的一部分且更专用、更高效时。

其他特性

传输距离

根据设计,GMSL串行器和解串器可在乘用车中使用同轴电缆将数据传输15米之远。但是,只要相机硬件系统满足GMSL通道规范,则传输距离不限于15米。

1702436905243766.png

图7 GMSL和GigE Vision网络的数据流量

通过以太网协议,GigE Vision可以使用铜缆将数据传输100米之远,使用光纤甚至可以更远,不过它可能会失去一些特性,例如以太网供电(PoE)。

PoC和PoE/PoDL

这两种技术都能够通过同一根电缆传输电力和数据。GMSL使用同轴电缆供电(PoC),GigE Vision针对4对以太网使用PoE,针对单对以太网(SPE)使用数据线供电(PoDL)。大多数GigE Vision相机使用传统的4对线和PoE。

PoC很简单,采用同轴电缆配置的相机应用通常默认使用这种方式。在这种配置中,链路上的电力和数据来自单根电线,并且PoC电路仅需要几个无源元件。

支持1 Gbps或更高数据速率的PoE电路需要专用电路,相机和主机(或交换机)侧均需要有源元件。这使得PoE功能成本更高且不易获得。支持PoE的GigE Vision相机通常还具有本地外部供电选项。

外设控制和系统连接

GMSL作为专用相机或显示器链路,其并非设计用来支持各种各样的外围设备。在典型的GMSL相机应用中,链路传输控制信号(UART、I2C和SPI),仅与温度传感器、环境光传感器、IMU、LED控制器等相机外设进行通信。使用GMSL作为相机接口的较大系统通常还有其他低速接口,例如CAN和以太网,以便与其他设备通信。

GigE Vision相机一般利用其内置处理器处理相机外设控制。作为工业应用中流行的连接解决方案,工业以太网有多种标准协议来支持多样化的机器和设备,GigE Vision相机通过其软件和硬件接口直接连接到网络。

相机触发和时间戳

GMSL链路的正向和反向通道均支持微秒级的低延迟GPIO和I2C信号隧道,从而支持不同的相机触发/同步配置。GMSL相机系统中的触发信号源可以来自解串器侧的SoC,也可以来自串行器侧的图像传感器之一。

GigE Vision相机通常通过专用引脚/端口或以太网触发/同步数据包来提供硬件和软件触发选项。在典型应用中,硬件触发作为标准方法,用于与其他相机或非相机设备进行响应灵敏且准确的同步。这些相机的软件触发的主要问题是网络延迟。尽管有一些协议可用于提高同步精度,但它们要么精度不够高(网络时间协议(NTP),同步到毫秒级2),要么性价比不高(精密时间协议(PTP),同步到微秒级3,但需要兼容的硬件)。

当在以太网上使用同步协议时,来自同一网络的所有设备(包括GigE Vision相机)将能够在同一时钟域中提供时间戳。

GMSL没有时间戳功能。有些图像传感器可以通过MIPI嵌入式报头提供时间戳,但这通常不与更高级别系统上的其他设备相关。在某些系统架构中,GMSL解串器会连接到PTP网络上的SoC以使用集中式时钟。如果需要此功能,请使用AD-GMSL2ETH-SL作为参考。

结语

总之(参见表1),GMSL是现有GigE Vision解决方案的有力替代方案。与GigE Vision相机相比,GMSL相机通常能以更低的成本、更低的功耗、更简单的系统架构和更小的系统尺寸提供同等或更好的链路速率和特性。此外,由于GMSL最初是为汽车应用而设计的,因此它已经在恶劣的环境中经过了汽车工程师几十年的验证。在可靠性和功能安全至关重要的系统开发中,GMSL将为工程师和系统架构师提供信心保证。

表1 GMSL与GigE Vision主要特性比较


GMSL

GigE Vision

拓扑结构

点对点

点对点或通过网络交换机

数据链路速率(Gbps)

3/6/12,专用

1/2.5/5/10,共享

传感器接口来自PHY

是,MIPI   D-PHY/C-PHY

控制信号

实时

当网络空闲时

视频压缩

视频延迟

低且具确定性

高(视频处理)、不具确定性(网络条件)

相机触发

双向直通链路,µS级延迟

触发引脚(附加硬件)、以太网数据包(延迟不具确定性)

尺寸

5   mm × 5 mm(GMSL2串行器)4

≥5 mm × 5 mm (GigE PHY)5,处理器除外

功耗

260   mW(GMSL2串行器)4

> 300 mW (GigE PHY)6,处理器除外

即插即用

否,需要MIPI驱动程序

电缆供电

简单,无源网络

复杂,有源元件

标准网络同步协议

传输距离

≤15 m(GMSL2,6 Gbps)

*假设老化,105°C LEONI Dacar 302同轴电缆

(–1.1 dB/m)

≤100 m

关于作者

Kainan Wang是ADI公司在美国马萨诸塞州威明顿市的汽车座舱体验(ACE)部门的系统应用工程师。他从马萨诸塞州波士顿市东北大学获得电气工程硕士学位后,于2016年加入ADI公司。Kainan一直从事2D/3D成像解决方案的工作,涉及硬件开发、系统集成和应用开发等各方面。最近,他的工作重点是将ADI汽车座舱技术拓展到汽车以外的其他市场。

]]>
//www.cazqn.com/article/202312/453853.htm Wed, 13 Dec 2023 11:02:28 +0800
<![CDATA[ ESP32的启动过程详解 ]]> ESP32 是一种基于 ARM Cortex-M 处理器的 32 位微控制器,集成了 2.4GHz Wi-Fi 和蓝牙双模功能。 ESP32 的启动过程可以分为以下三个阶段:

  • 一级引导程序:当 ESP32 上电或复位后,PRO CPU 会立即开始运行,执行复位向量代码,而 APP CPU 仍然保持复位状态。 复位向量代码会检查 GPIO_STRAP_REG 寄存器的值,确定 ESP32 的启动模式,如 UART 下载模式、自定义启动模式等。如果是正常启动模式,程序会配置 SPI flash,然后尝试从 flash 的 0x1000 偏移地址处加载二级引导程序的二进制镜像。 程序会校验镜像的校验和,如果正确,就会跳转到镜像的入口点运行。

  • 二级引导程序:二级引导程序的源码可以在 ESP-IDF 的 components/bootloader 目录下找到。 二级引导程序会从 flash 的 0x8000 偏移地址处读取分区表,分区表包含了 flash 上不同分区的类型、偏移地址、大小等信息。 二级引导程序会寻找工厂分区和 OTA 应用程序分区,如果存在 OTA 应用程序分区,还会查询 otadata 分区,以确定应该引导哪个分区。 对于选定的分区,二级引导程序会从 flash 中逐段读取应用程序镜像,对于需要加载到 RAM 中的段,会将数据从 flash 复制到它们的加载地址处,对于需要从 flash 中运行的段,会通过配置 flash MMU,提供正确的映射。 一旦处理完所有段,二级引导程序会验证应用程序的完整性,如果使用了安全启动或 flash 加密功能,还会进行相应的验证和解密。最后,二级引导程序会跳转到应用程序镜像的入口点运行。

  • 应用程序启动:应用程序启动包含了从应用程序开始执行到 app_main 函数在主任务内部运行前的所有过程。 应用程序启动可以分为三个子阶段:端口初始化:这个阶段会初始化基本的 C 运行环境,配置 CPU 异常,初始化内部存储器,设置 CPU 时钟,如果配置了 PSRAM,还会使能 PSRAM。如果应用程序被配置为在多个内核上运行,还会启动另一个内核并等待其初始化。系统初始化:这个阶段会初始化软件服务和 FreeRTOS,包括堆栈、中断、任务、定时器、事件组、队列、信号量等。 还会初始化一些系统组件,如 NVS、SPI flash、日志、应用程序跟踪等。主任务运行:这个阶段会创建一个主任务,并在其中调用 app_main 函数,这是应用程序的入口函数,用户可以在这里编写自己的代码,实现各种功能和服务。


]]>
ESP32 是一种基于 ARM Cortex-M 处理器的 32 位微控制器,集成了 2.4GHz Wi-Fi 和蓝牙双模功能。 ESP32 的启动过程可以分为以下三个阶段:

  • 一级引导程序:当 ESP32 上电或复位后,PRO CPU 会立即开始运行,执行复位向量代码,而 APP CPU 仍然保持复位状态。 复位向量代码会检查 GPIO_STRAP_REG 寄存器的值,确定 ESP32 的启动模式,如 UART 下载模式、自定义启动模式等。如果是正常启动模式,程序会配置 SPI flash,然后尝试从 flash 的 0x1000 偏移地址处加载二级引导程序的二进制镜像。 程序会校验镜像的校验和,如果正确,就会跳转到镜像的入口点运行。

  • 二级引导程序:二级引导程序的源码可以在 ESP-IDF 的 components/bootloader 目录下找到。 二级引导程序会从 flash 的 0x8000 偏移地址处读取分区表,分区表包含了 flash 上不同分区的类型、偏移地址、大小等信息。 二级引导程序会寻找工厂分区和 OTA 应用程序分区,如果存在 OTA 应用程序分区,还会查询 otadata 分区,以确定应该引导哪个分区。 对于选定的分区,二级引导程序会从 flash 中逐段读取应用程序镜像,对于需要加载到 RAM 中的段,会将数据从 flash 复制到它们的加载地址处,对于需要从 flash 中运行的段,会通过配置 flash MMU,提供正确的映射。 一旦处理完所有段,二级引导程序会验证应用程序的完整性,如果使用了安全启动或 flash 加密功能,还会进行相应的验证和解密。最后,二级引导程序会跳转到应用程序镜像的入口点运行。

  • 应用程序启动:应用程序启动包含了从应用程序开始执行到 app_main 函数在主任务内部运行前的所有过程。 应用程序启动可以分为三个子阶段:端口初始化:这个阶段会初始化基本的 C 运行环境,配置 CPU 异常,初始化内部存储器,设置 CPU 时钟,如果配置了 PSRAM,还会使能 PSRAM。如果应用程序被配置为在多个内核上运行,还会启动另一个内核并等待其初始化。系统初始化:这个阶段会初始化软件服务和 FreeRTOS,包括堆栈、中断、任务、定时器、事件组、队列、信号量等。 还会初始化一些系统组件,如 NVS、SPI flash、日志、应用程序跟踪等。主任务运行:这个阶段会创建一个主任务,并在其中调用 app_main 函数,这是应用程序的入口函数,用户可以在这里编写自己的代码,实现各种功能和服务。


]]>
//www.cazqn.com/article/202312/453851.htm Wed, 13 Dec 2023 10:56:35 +0800
<![CDATA[ SPI通信协议:单片机spi通信接口什么意思,spi接口干什么用的? ]]> 讲真,以前做开发的时候最怕就是调spi和iic。

因为公司没有逻辑分析仪,调起来全凭经验,一出问题找都找不到,只能仔细看代码盲调,看是不是哪个时序有问题。

说到这里,可能刚初学的小伙伴会问:单片机spi通信是什么鬼?spi接口是干什么用的?

一、单片机spi通信是什么鬼?

单片机是一个可编程的微控制器,和spi通信其实是两个独立的东西。

只不过我们用单片机可以去实现spi通信而已,但是实现spi通信不一定非要单片机。

我们人和人之间沟通,要靠说话,并且两个人语言要一样,比如说都用普通话。

那芯片和芯片沟通,它们又不会说话,怎么传递信息呢?

就是通过通信总线,通信总线有很多种,比如IIC、SPI、USART、CAN,它们的区别就像普通话、英语、韩语、俄语,都是用来传递信息用的,只是传递方式不同。

但传递信息,有一个前提,就是语言要相同,也就是通信方式要相同。

所以说,spi是一种通信方式,是用来传递信息的。

不同的通信方式,传递信息的方式不同。

Spi是一种全双工、高速的、同步的通信总线。

简单来说,就是你们两个可以同时快速地说话,你能把你要说的告诉对方,同时也能听到对方说什么,这就是全双工。

还有一种叫半双工,就是你们同时只能有一个人说,另一个听,说完了,另外一个才能说。

很明显,全双工传递信息的效率更高吧。

同步的意思我给你们两个对话设定个条件,比如说我说开始你们才可以对话,我说停止就都不能说话。

二、spi接口是干什么用的?

我们人和人传递信息一般是靠耳朵和嘴巴对吧?

Spi通信传递信息是通过接口,来看下图:


spi接口有4根线,分别是CS、SCLK、MOSI、MISO。

SCLK是同步信号,一般由主控来控制。

既然是通信,自然是需要有个”对象”,如上图A和B。

A是SPI Master,也就是主控,比如说单片机,一般就是主控的角色,主控来负责发送SCLK同步信号通知SPI Slave是否需要进行数据通信。

B是SPI Slave,也就是从机,比如说Flash芯片。

CS代表片选信号,为什么要用片选呢?

因为一个SPI Master可以跟不同的SPI Slave进行通信。


SPI Master就是通过CS来控制,具体跟哪个SPI Slave通讯,控制CS为低电平就代表选中该SPI Slave。

如果说只跟一个从设备通讯,也可以直接把CS串联电阻接地,省的每次都去控制它。

MOSI英文全称是Master Output Slave Input,这个一般接主控器件数据输出引脚,从机器件数据输入引脚。

MISO英文全称是Slave Input Master Output,这个一般接主控器件数据输入引脚,从机器件数据输出引脚。

除此以外,还有一种特殊的用法,就是只用SCLK和MOSI这两个引脚的SPI通信。

比如说我们无际单片机编程实战项目课程里有个OLED屏的应用。


片选引脚CS我直接硬件接地了,因为只有一个从设备。

我们主要是控制OLED屏去显示内容,并不需要从OLED读取数据,所以MISO也不需要。

这种情况是可以只用2根线的。

所以,这种协议要从本质上去理解它们,不需要死记硬背要接几个引脚,你学废了吗?


]]>
讲真,以前做开发的时候最怕就是调spi和iic。

因为公司没有逻辑分析仪,调起来全凭经验,一出问题找都找不到,只能仔细看代码盲调,看是不是哪个时序有问题。

说到这里,可能刚初学的小伙伴会问:单片机spi通信是什么鬼?spi接口是干什么用的?

一、单片机spi通信是什么鬼?

单片机是一个可编程的微控制器,和spi通信其实是两个独立的东西。

只不过我们用单片机可以去实现spi通信而已,但是实现spi通信不一定非要单片机。

我们人和人之间沟通,要靠说话,并且两个人语言要一样,比如说都用普通话。

那芯片和芯片沟通,它们又不会说话,怎么传递信息呢?

就是通过通信总线,通信总线有很多种,比如IIC、SPI、USART、CAN,它们的区别就像普通话、英语、韩语、俄语,都是用来传递信息用的,只是传递方式不同。

但传递信息,有一个前提,就是语言要相同,也就是通信方式要相同。

所以说,spi是一种通信方式,是用来传递信息的。

不同的通信方式,传递信息的方式不同。

Spi是一种全双工、高速的、同步的通信总线。

简单来说,就是你们两个可以同时快速地说话,你能把你要说的告诉对方,同时也能听到对方说什么,这就是全双工。

还有一种叫半双工,就是你们同时只能有一个人说,另一个听,说完了,另外一个才能说。

很明显,全双工传递信息的效率更高吧。

同步的意思我给你们两个对话设定个条件,比如说我说开始你们才可以对话,我说停止就都不能说话。

二、spi接口是干什么用的?

我们人和人传递信息一般是靠耳朵和嘴巴对吧?

Spi通信传递信息是通过接口,来看下图:


spi接口有4根线,分别是CS、SCLK、MOSI、MISO。

SCLK是同步信号,一般由主控来控制。

既然是通信,自然是需要有个”对象”,如上图A和B。

A是SPI Master,也就是主控,比如说单片机,一般就是主控的角色,主控来负责发送SCLK同步信号通知SPI Slave是否需要进行数据通信。

B是SPI Slave,也就是从机,比如说Flash芯片。

CS代表片选信号,为什么要用片选呢?

因为一个SPI Master可以跟不同的SPI Slave进行通信。


SPI Master就是通过CS来控制,具体跟哪个SPI Slave通讯,控制CS为低电平就代表选中该SPI Slave。

如果说只跟一个从设备通讯,也可以直接把CS串联电阻接地,省的每次都去控制它。

MOSI英文全称是Master Output Slave Input,这个一般接主控器件数据输出引脚,从机器件数据输入引脚。

MISO英文全称是Slave Input Master Output,这个一般接主控器件数据输入引脚,从机器件数据输出引脚。

除此以外,还有一种特殊的用法,就是只用SCLK和MOSI这两个引脚的SPI通信。

比如说我们无际单片机编程实战项目课程里有个OLED屏的应用。


片选引脚CS我直接硬件接地了,因为只有一个从设备。

我们主要是控制OLED屏去显示内容,并不需要从OLED读取数据,所以MISO也不需要。

这种情况是可以只用2根线的。

所以,这种协议要从本质上去理解它们,不需要死记硬背要接几个引脚,你学废了吗?


]]>
//www.cazqn.com/article/202312/453850.htm Wed, 13 Dec 2023 10:54:33 +0800
<![CDATA[ 微软发文:27 亿参数的 Phi-2 AI 模型性能优于谷歌 32 亿参数的 Gemini Nano-2 ]]> IT之家 12 月 13 日消息,微软公司今天发布新闻稿,表示旗下的 Phi-2 2.7B 模型,在多个方面都优于谷歌发布的 Gemini Nano-2 3.2B。

Phi-2 2.7B 模型

IT之家今年 11 月报道,微软在 Ignite 2023 大会上,宣布了拥有 27 亿参数的 Phi-2,性能方面相比较此前版本有明显提升。

微软于今年 6 月发布 Phi-1,只有 13 亿参数,适用于 QA 问答、聊天格式和代码等等场景。该模型完全基于高质量数据进行训练,在基准测试中的表现比同类模型高出 10 倍。

微软今年 9 月更新发布了 Phi-1.5 版本,同样为 13 亿参数,可以写诗、写电子邮件和故事,以及总结文本。在常识、语言理解和推理的基准测试中,该模型在某些领域能够跟上多达 100 亿个参数的模型。

微软现在更新发布的 Phi-2 拥有 27 亿参数,规模虽然比此前版本翻番,但相比较其它主流语言模型,依然小很多。

微软表示 Phi-2 在逻辑推理和安全性方面显示出显著的改进。通过正确的微调和定制,小型语言模型是云和边缘应用程序的强大工具。

谷歌 Gemini Nano-2 3.2B

Gemini Nano 是一个专门为在小型设备上本地运行而构建的模型版本,最新 2.0 版本有 32 亿参数,将率先装备在 Pixel 8 Pro 机型上。

在录音场景下,选中录音文件并点击“Transcript(文本稿)”标签,再点击顶部的“总结”按钮,该 App 将会生成多条与录音内容有关的要点。

在 Gboard 输入法中,Gemini Nano 将会实现“具有对话意识的高质量回复”,其中 WhatsApp 将是第一个支持智能回复的 App,明年其他 App 也将陆续获得支持。

对比

微软在最新博文中,对比了 Phi-2 模型和谷歌的 Gemini Nano-2 模型,表示 Phi-2 多项性能均优于 Gemini Nano-2 模型。

微软还对比表示其 Phi-2 性能,已经超过了 70 亿参数和 130 亿参数的 Llama-2,以及 70 亿参数的 Mistral。

IT之家附上微软官方博文地址,感兴趣的用户可以深入阅读。


]]>
IT之家 12 月 13 日消息,微软公司今天发布新闻稿,表示旗下的 Phi-2 2.7B 模型,在多个方面都优于谷歌发布的 Gemini Nano-2 3.2B。

Phi-2 2.7B 模型

IT之家今年 11 月报道,微软在 Ignite 2023 大会上,宣布了拥有 27 亿参数的 Phi-2,性能方面相比较此前版本有明显提升。

微软于今年 6 月发布 Phi-1,只有 13 亿参数,适用于 QA 问答、聊天格式和代码等等场景。该模型完全基于高质量数据进行训练,在基准测试中的表现比同类模型高出 10 倍。

微软今年 9 月更新发布了 Phi-1.5 版本,同样为 13 亿参数,可以写诗、写电子邮件和故事,以及总结文本。在常识、语言理解和推理的基准测试中,该模型在某些领域能够跟上多达 100 亿个参数的模型。

微软现在更新发布的 Phi-2 拥有 27 亿参数,规模虽然比此前版本翻番,但相比较其它主流语言模型,依然小很多。

微软表示 Phi-2 在逻辑推理和安全性方面显示出显著的改进。通过正确的微调和定制,小型语言模型是云和边缘应用程序的强大工具。

谷歌 Gemini Nano-2 3.2B

Gemini Nano 是一个专门为在小型设备上本地运行而构建的模型版本,最新 2.0 版本有 32 亿参数,将率先装备在 Pixel 8 Pro 机型上。

在录音场景下,选中录音文件并点击“Transcript(文本稿)”标签,再点击顶部的“总结”按钮,该 App 将会生成多条与录音内容有关的要点。

在 Gboard 输入法中,Gemini Nano 将会实现“具有对话意识的高质量回复”,其中 WhatsApp 将是第一个支持智能回复的 App,明年其他 App 也将陆续获得支持。

对比

微软在最新博文中,对比了 Phi-2 模型和谷歌的 Gemini Nano-2 模型,表示 Phi-2 多项性能均优于 Gemini Nano-2 模型。

微软还对比表示其 Phi-2 性能,已经超过了 70 亿参数和 130 亿参数的 Llama-2,以及 70 亿参数的 Mistral。

IT之家附上微软官方博文地址,感兴趣的用户可以深入阅读。


]]>
//www.cazqn.com/article/202312/453848.htm Wed, 13 Dec 2023 10:50:47 +0800
<![CDATA[ 日立高新技术公司部宣布推出其GT2000高精度电子束测量系统 ]]> 日立高新技术公司宣布推出其GT2000高精度电子束测量系统。GT2000利用日立高新技术在CD-SEM*1方面的技术和专业知识,在那里占有最大的市场份额。

GT2000配备了用于尖端3D半导体器件的新型检测系统。它还利用低损伤高速多点测量功能用于high-NA EUV*2抗蚀剂晶片成像,以最小化抗蚀剂损伤并提高批量生产的产率。

日立高新技术(Hitachi High-Tech)GT2000 CD-SEM将能够实现高级半导体器件制造过程中的高精度、高速测量和检测,这些半导体器件正变得越来越小型化和复杂化,并有助于提高研发和大规模生产中的客户收益率。

*1.CD-SEM(特征尺寸扫描电子显微镜):一种高精度测量的设备,用于检测晶片上形成的精细半导体电路图案的尺寸。

*2.High-NA EUV(高数值孔径极紫外光刻):与传统设备相比具有改进的数值孔径的极端紫外线(13.5nm波长)光刻设备。

发展背景

随着半导体器件制造工艺的发展,N2(2纳米生成节点)和A14(14埃生成节点)的研发正在进行中。除了在现有技术的器件中应用High-NA EUV光刻之外,预期器件结构的复杂性将增加,例如GAA*3和CFET*4结构。

因此,在广泛的测量条件下,高速数据采集以测量各种材料和结构、稳定的操作,以及在尖端半导体器件工艺开发的研究阶段和批量生产中改进工具到工具匹配的需求正在进一步增加。

*3.GAA(Gate All Around):全环绕栅极晶体管。

*4. 垂直堆叠互补场效应晶体管技术(CFET):堆叠互补晶体管,其中n-型和p-型器件被堆叠。

关键技术

1.用于High-NA EUV工艺的100V超低加速电压和超高速多点测量功能

在High-NA EUV光刻工艺中,所使用的抗蚀剂更薄,因此,为了以高精度测量抗蚀剂,计量工具必须尽可能少地对抗蚀剂造成损坏。GT2000通过将开创性的100V超低加速电压与我们专有的高速扫描功能相结合,实现了低损伤和高精度测量。此外,配备超高速多点测量模式,可快速确定制造工艺条件,检测研发阶段的异常情况。

2.用于3D器件结构的高灵敏度检测系统

具有诸如GAA、CFET和3D存储器等结构的3D设备除了传统的CD测量之外,还需要测量图案的深度、孔洞和沟槽的底部。GT2000配备了一个新的高度灵敏的检测系统,能够有效地检测背散射电子,能够实现越来越复杂的设备结构的高精度成像,并扩大了新测量应用的可能性。

3.新的平台和新的电子光学系统,以提高工具对工具的匹配

负责过程监控的CD-SEEM最重要的性能要求之一是多个工具之间测量值的差异很小。GT2000新平台和电子光学系统经过重新设计,以消除任何导致测量值差异的因素,从而改进工具到工具的匹配。

日立高新技术公司通过提供GT2000以及使用电子束技术的测量系统和光学晶片检测系统,努力满足客户在半导体制造过程中的各种加工、测量和检测需求。将继续为产品提供创新和数字增强的解决方案,以应对未来的技术挑战,并与客户一起创造新的价值,同时为尖端制造做出贡献。


]]>
日立高新技术公司宣布推出其GT2000高精度电子束测量系统。GT2000利用日立高新技术在CD-SEM*1方面的技术和专业知识,在那里占有最大的市场份额。

GT2000配备了用于尖端3D半导体器件的新型检测系统。它还利用低损伤高速多点测量功能用于high-NA EUV*2抗蚀剂晶片成像,以最小化抗蚀剂损伤并提高批量生产的产率。

日立高新技术(Hitachi High-Tech)GT2000 CD-SEM将能够实现高级半导体器件制造过程中的高精度、高速测量和检测,这些半导体器件正变得越来越小型化和复杂化,并有助于提高研发和大规模生产中的客户收益率。

*1.CD-SEM(特征尺寸扫描电子显微镜):一种高精度测量的设备,用于检测晶片上形成的精细半导体电路图案的尺寸。

*2.High-NA EUV(高数值孔径极紫外光刻):与传统设备相比具有改进的数值孔径的极端紫外线(13.5nm波长)光刻设备。

发展背景

随着半导体器件制造工艺的发展,N2(2纳米生成节点)和A14(14埃生成节点)的研发正在进行中。除了在现有技术的器件中应用High-NA EUV光刻之外,预期器件结构的复杂性将增加,例如GAA*3和CFET*4结构。

因此,在广泛的测量条件下,高速数据采集以测量各种材料和结构、稳定的操作,以及在尖端半导体器件工艺开发的研究阶段和批量生产中改进工具到工具匹配的需求正在进一步增加。

*3.GAA(Gate All Around):全环绕栅极晶体管。

*4. 垂直堆叠互补场效应晶体管技术(CFET):堆叠互补晶体管,其中n-型和p-型器件被堆叠。

关键技术

1.用于High-NA EUV工艺的100V超低加速电压和超高速多点测量功能

在High-NA EUV光刻工艺中,所使用的抗蚀剂更薄,因此,为了以高精度测量抗蚀剂,计量工具必须尽可能少地对抗蚀剂造成损坏。GT2000通过将开创性的100V超低加速电压与我们专有的高速扫描功能相结合,实现了低损伤和高精度测量。此外,配备超高速多点测量模式,可快速确定制造工艺条件,检测研发阶段的异常情况。

2.用于3D器件结构的高灵敏度检测系统

具有诸如GAA、CFET和3D存储器等结构的3D设备除了传统的CD测量之外,还需要测量图案的深度、孔洞和沟槽的底部。GT2000配备了一个新的高度灵敏的检测系统,能够有效地检测背散射电子,能够实现越来越复杂的设备结构的高精度成像,并扩大了新测量应用的可能性。

3.新的平台和新的电子光学系统,以提高工具对工具的匹配

负责过程监控的CD-SEEM最重要的性能要求之一是多个工具之间测量值的差异很小。GT2000新平台和电子光学系统经过重新设计,以消除任何导致测量值差异的因素,从而改进工具到工具的匹配。

日立高新技术公司通过提供GT2000以及使用电子束技术的测量系统和光学晶片检测系统,努力满足客户在半导体制造过程中的各种加工、测量和检测需求。将继续为产品提供创新和数字增强的解决方案,以应对未来的技术挑战,并与客户一起创造新的价值,同时为尖端制造做出贡献。


]]>
//www.cazqn.com/article/202312/453847.htm Wed, 13 Dec 2023 10:30:38 +0800
<![CDATA[ 纽约州宣布联合IBM、美光等公司,斥资100亿美元建立研发中心 ]]> 据IBM官网消息,美国纽约州州长宣布与IBM、美光以及其他行业参与者合作,投资100亿美元在纽约州 Albany NanoTech Complex 建设下一代 High-NA EUV 半导体研发中心。

IBM称,这将是北美第一个也是唯一一个拥有高数值孔径极紫外光刻(高NA EUV)系统的公共研发中心,可为开发和生产小于2nm的节点芯片铺平道路。

]]>
据IBM官网消息,美国纽约州州长宣布与IBM、美光以及其他行业参与者合作,投资100亿美元在纽约州 Albany NanoTech Complex 建设下一代 High-NA EUV 半导体研发中心。

IBM称,这将是北美第一个也是唯一一个拥有高数值孔径极紫外光刻(高NA EUV)系统的公共研发中心,可为开发和生产小于2nm的节点芯片铺平道路。

]]>
//www.cazqn.com/article/202312/453846.htm Wed, 13 Dec 2023 09:29:29 +0800
<![CDATA[ 简易电压表设计 ]]> 实验任务
  • 任务:基于 STEP-MAX10M08核心板 和 STEP BaseBoard V3.0底板 完成简易电压表设计并观察调试结果
  • 要求:通过底板上的串行模数转换器ADC芯片测量可调电位计输出电压,并将电压信息显示在核心板的数码管上。
  • 解析:通过FPGA编程驱动串行ADC芯片,得到数字量化的电压信息,将量化的数字信息转换成BCD码形式,同时驱动独立数码管将电压值显示出来。

实验目的

在基础数字电路实验部分我们已经掌握了FPGA驱动独立数码管的原理及方法,本实验主要学习模数转换器ADC的相关知识,串行(SPI接口)ADC芯片ADC081S101的驱动设计,同时学习二进制数转换BCD码的设计方法。

  • 学习模数转换器ADC的相关知识
  • 串行(SPI接口)ADC芯片ADC081S101的驱动设计
  • 学习二进制数转换BCD码的设计方法
  • 完成简易电压表设计实现

设计框图

根据前面的实验解析我们可以得知,该设计可以拆分成三个功能模块实现,

  • ADC081S101driver: 驱动SPI接口ADC芯片实现模拟电压信号采集。 * bintobcd:将二进制数据转换成BCD码的方法。 * Segmentled:通过驱动独立式数码管将电压数据显示出来。

Top-Down层次设计

 

模块结构设计

实验原理

ADC介绍

数字系统,是用数字信号完成对数字量进行算术运算和逻辑运算的电路称为数字电路,或数字系统。而我们生活的世界是模拟的,想要让数字系统帮我们处理我们模拟世界的问题,就需要一个桥梁来沟通数字系统和模拟系统。

模拟数字系统通信

模数转换器即A/D转换器,或简称ADC,通常是指一个将模拟信号转变为数字信号的电子元件。通常的模数转换器是将一个输入电压信号转换为一个输出的数字信号。由于数字信号本身不具有实际意义,仅仅表示一个相对大小。故任何一个模数转换器都需要一个参考模拟量作为转换的标准,比较常见的参考标准为最大的可转换信号大小。而输出的数字量则表示输入信号相对于参考信号的大小。

数模转换器,又称D/A转换器,简称DAC,它是把数字量转变成模拟的器件。D/A转换器基本上由4个部分组成,即权电阻网络、运算放大器、基准电源和模拟开关。模数转换器中一般都要用到数模转换器,模数转换器即A/D转换器,简称ADC,它是把连续的模拟信号转变为离散的数字信号的器件。

作为模拟系统与数字系统转换的桥梁,ADC和DAC有很多参数指标来标识其性能:

  • 分辨率(转换精度):指ADC或DAC能够采集或输出最小电压与最大电压之比,也是最小输入数字量1与最大输入数字量2n-1之比。分辨率通常用数字量的位数表示,一般为8位、12位、16位等,N位的ADC或DAC的分辨率为2的N次方。
  • 量程(满刻度范围 FSR):指ADC或DAC能够输入或输出模拟电压的变化范围。
  • 建立时间:建立时间是衡量DAC输出达到最终值所需的时间,指接收到要求输出的命令至输出建立到一定精度范围内(通常是0.5LSB、1LSB、2LSB)的时间。
  • 转换时间:指ADC从发出转换指令开始到获得稳定的二进制代码所需要的时间,转换时间与ADC的类型、原理和位数有关。

并行ADC和串行ADC模型

上图两个都是8位ADC模型,分辨率为 2的8次方等于256,即将Vref分成256份,能够分辨的模拟步进为Vref / 256,量化数据N = 256 * Vin / Vref 。

  • 并行ADC与数字电路接口包含一根clk和8根data管脚,clk为芯片时钟管脚,data为芯片数据管脚,每个clk周期从data管脚采集8bit的数据,完成一次模数转换,所以clk频率等于采样率。
  • 串行ADC(以ADC081S101为例)与数字电路接口为三根线(cs,clk,din),兼容三线SPI总线,cs为芯片使能管脚,clk为芯片时钟管脚,din为芯片数据管脚,当ADC芯片使能时每个clk周期从din采集1bit的数据,但是根据ADC081S101的时序,需要16个clk完成一次采样,所以clk频率至少等于采样率的16倍。
ADC模块电路连接

这里我们以STEP BaseBoard V3.0底板上的ADC模块电路,其电路图如下:

ADC模块电路

如ADC模块电路所示,FPGA直接连接ADC081S101芯片的控制端,ADC有6个管脚,3脚Vin为VCC和Vref功能复用,即Vin = VCC = Vref。ADC前端是运放电路LMV721,运放模块为电压跟随电路,再往前端是一个跳冒排针,用来选择ADC采样信号的来源,当短路帽将1、2脚短路时,ADC采集电位计电压,当短路帽将2、3脚短路时,ADC采射频端子或P4排针信号。本设计我们是采样旋转编码器的电压,所以需要用短路帽将1、2脚短路。

ADC模块驱动设计

前面我们了解ADC081S101芯片和FPGA之间连接有三根线(cs、clk、din),兼容SPI总线,SPI是串行外设接口(Serial Peripheral Interface)的缩写。SPI是一种高速的,全双工,同步的通信总线,并且在芯片的管脚上只占用四根线(cs、sck、mosi、miso),事实上3根也可以(单向传输时),占用管脚少节约了芯片的管脚,同时为PCB的布局上节省空间,正是出于这种简单易用的特性,如今越来越多的芯片集成这种通信协议。

SPI设备分为主设备和从设备,设备之间共用sck、mosi和miso,另外每个从设备有一根cs线(不共用),通信在主设备和从设备之间进行,从设备与从设备之间无法直接通信,主设备可以同时连接多个从设备,当主设备和某个从设备通信时,先控制该从设备cs信号拉低,然后通过sck、mosi和miso进行数据传输。

多设备SPI总线连接

为了让SPI总线更加灵活应用,SPI总线分为4种模式,由两个参数控制:

  • CPOL:时钟极性选择,为0时SCK空闲为低电平,为1时SCK空闲为高电平
  • CPHA:时钟相位选择,为0时在SCK第一个跳变沿采样,为1时在SCK第二个跳变沿采样
MODE0MODE1
MODE2MODE3

SPI总线协议4种模式

  • 模式1:CPOL=0,CPHA=0:此时空闲态时,SCLK处于低电平,数据采样是在第1个边沿,也就是 SCLK由低电平到高电平的跳变,所以数据采样是在上升沿,数据发送是在下降沿。
  • 模式2:CPOL=0,CPHA=1:此时空闲态时,SCLK处于低电平,数据发送是在第1个边沿,也就是 SCLK由低电平到高电平的跳变,所以数据采样是在下降沿,数据发送是在上升沿。
  • 模式3:CPOL=1,CPHA=0:此时空闲态时,SCLK处于高电平,数据采集是在第1个边沿,也就是 SCLK由高电平到低电平的跳变,所以数据采集是在下降沿,数据发送是在上升沿。
  • 模式4:CPOL=1,CPHA=1:此时空闲态时,SCLK处于高电平,数据发送是在第1个边沿,也就是 SCLK由高电平到低电平的跳变,所以数据采集是在上升沿,数据发送是在下降沿。

ADC081S101管脚说明表:

ADC081S101管脚说明

注:SDATA信号在SCLK的节拍下传输数据,当SCLK下降沿时SDATA更新数据输出,当驱动程序编程时我们要在上升沿采样数据可以得到稳定的输出。

ADC081S101串行通信时序如下图:

ADC081S101通信时序

注:

  1. SCLK空闲时为高电平,CPOL = 1,上升沿(第二个边沿)采样,CPHA = 1,如果例化通用SPI核完成设计,需要采用SPI的第四种工作模式。
  2. CS信号拉低有效,经过16个时钟完成一次ADC转换并采样,采样回来的数据前3位无效,接下来为DB7~DB0(有效数据),再接下来为无效数据。

针对ADC081S101时序,我们用Verilog设计一个计数器,当计数器值不同时完成不同操作,实现一次ADC采样,程序实现如下:

reg [7:0] cnt; //计数器
always @(posedge clk or negedge rst_n)
	if(!rst_n) cnt <= 1'b0;
	else if(cnt >= 8'd34) cnt <= 1'b0;
	else cnt <= cnt + 1'b1;
	reg [7:0] data;always @(posedge clk or negedge rst_n)
	if(!rst_n) begin
		adc_cs <= HIGH; adc_clk <= HIGH;
	end else case(cnt)
		8'd0 :  begin adc_cs <= HIGH; 
		adc_clk <= HIGH; end
		8'd1 :  begin adc_cs <= LOW;  
		adc_clk <= HIGH; end
		8'd2,8'd4,8'd6,8'd8,8'd10,8'd12,8'd14,8'd16,
		8'd18,8'd20,8'd22,8'd24,8'd26,8'd28,8'd30,8'd32:	
				begin adc_cs <= LOW;  
				adc_clk <= LOW;  
				end
		8'd3 :  begin adc_cs <= LOW;  
		adc_clk <= HIGH; 
		end //0
		8'd5 :  begin adc_cs <= LOW;  
		adc_clk <= HIGH; 
		end //1
		8'd7 :  begin adc_cs <= LOW;  
		adc_clk <= HIGH; 
		end //2
		8'd9 :  begin adc_cs <= LOW;  
		adc_clk <= HIGH; 
		data[7] <= adc_dat; 
		end //3
		8'd11 : begin adc_cs <= LOW;  
		adc_clk <= HIGH; data[6] <= adc_dat; 
		end //4
		8'd13 : begin adc_cs <= LOW;  
		adc_clk <= HIGH; data[5] <= adc_dat; 
		end //5
		8'd15 : begin adc_cs <= LOW;  
		adc_clk <= HIGH; 
		data[4] <= adc_dat; 
		end //6
		8'd17 : begin adc_cs <= LOW;  
		adc_clk <= HIGH; data[3] <= adc_dat; 
		end //7
		8'd19 : begin adc_cs <= LOW;  
		adc_clk <= HIGH; data[2] <= adc_dat; 
		end //8
		8'd21 : begin adc_cs <= LOW;  
		adc_clk <= HIGH; 
		data[1] <= adc_dat; 
		end //9
		8'd23 : begin adc_cs <= LOW;  
		adc_clk <= HIGH; data[0] <= adc_dat; 
		end //10
		8'd25 : begin adc_cs <= LOW;  
		adc_clk <= HIGH; adc_data <= data; 
		end //11
		8'd27 : begin adc_cs <= LOW;  
		adc_clk <= HIGH; adc_done <= HIGH; 
		end //12
		8'd29 : begin adc_cs <= LOW;  
		adc_clk <= HIGH; adc_done <= LOW; 
		end //13
		8'd31 : begin adc_cs <= LOW;  
		adc_clk <= HIGH; 
		end //14
		8'd33 : begin adc_cs <= LOW;  
		adc_clk <= HIGH; 
		end //15
		8'd34 : begin adc_cs <= HIGH;  
		adc_clk <= HIGH; 
		end
		default : begin adc_cs <= HIGH;  
		adc_clk <= HIGH;  
		end
	endcase

到这我们就完成了串行ADC芯片ADC081S101的驱动设计,整个采样周期用了35个系统时钟,如果我们采用12MHz时钟作为该模块系统时钟,采样率Fs = 12M/35 = 343Ksps,ADC主频Fsclk = 12 MHz /2 = 6MHz。

ADC081S101主频及采样率要求如下,按照要求我们当前的主频和采样率不足,所以在使用该模块时,可以使用更高的时钟(比如24MHz)以达到芯片的要求

ADC081S101速度

注:时钟频率Fsclk,最小值为10MHz,最大值为20MHz,采样率在500Ksps~1Msps

模块接口如下:clk和rstn为系统时钟及复位,adccs,adcclk和adcdat为ADC控制管脚,adcdata为ADC采样数据,adcdone产生一个脉冲对应adc_data得到一个有效数据

module ADC081S101_driver
(
input				clk,		//系统时钟
input				rst_n,  	//系统复位,低有效
output	reg			adc_cs,		//SPI总线CS
output	reg			adc_clk,	//SPI总线SCK
input				adc_dat,	//SPI总线SDA
output	reg			adc_done,	//ADC采样完成标志
output	reg [7:0]		adc_data	//ADC采样数据
);
系统总体实现

因为需要更高的时钟供ADC模块使用,我们例化pll核得到24MHz时钟,例化PLL的方法我们在基础数字电路实验部分已经练习过,这里就简单描述一下过程

打开Tools菜单下的IP Catalog工具,依次找到Libraty → Basic Functions → Clocks; PLLs and Resets → PLL → ALTPLL,打开ALTPLL弹出配置界面,配置inclk0输入为12MHz,配置c0的时钟输出为24MHz,其他所有选项全部默认,点击Finish完成pll的IP核例化。

在顶层模块VoltageMeas中,同时例化pll模块和ADC081S101driver模块,并将pll的c0输出与ADC081S101_driver模块的clk连线。

PLL

 

PLL

Pll模块和ADC081S101_driver模块的连接程序实现如下:

wire clk_24mhz,locked;
pll u1
(
.areset				(!rst_n			), //pll模块的复位为高有效
.inclk0				(clk			), //12MHz系统时钟输入
.c0					(clk_24mhz		), //24MHz时钟输出
.locked				(locked			)  //pll lock信号输出
); 
wire adc_done;
wire [7:0] adc_data;//使用I2C总线驱动PCF8591的ADC功能,例化
ADC081S101_driver u2(.clk				(clk_24mhz		),	//系统时钟
.rst_n				(rst_n			),	//系统复位,低有效
.adc_cs				(adc_cs			),	//SPI总线CS
.adc_clk			(adc_clk		),	//SPI总线SCK
.adc_dat			(adc_dat		),	//SPI总线SDA
.adc_done			(adc_done		),	//ADC采样完成标志
.adc_data			(adc_data		)	//ADC采样数据
);

现在可以得到ADC采样数据了,假设ADC模拟输入电压为3.3V,理论上我们得到的采样数据adc_data应该为8’hff,而电压表最终显示在数码管上的数据应该为3.3,我们如何将8’hff转换成可以显示的3.3数据呢?这就设计到ADC量化数据的逆向运算了,

我们知道量化运算 N = 256 * Vin / Vref,

那么逆向运算为Vin = N * Vref / 256,其中Vref = 3.3V,所以Vin = N * 0.0129

所以我们需要用FPGA计算adc_data * 0.0129的结果,然后为了使用十进制的显示,先将结果进行BCD转码,然后显示在数码管上。

将ADC采样数据按规则转换为电压数据(乘以0.0129),这里我们直接乘以129,得到的数据经过BCD转码后小数点左移4位即可,程序实现如下:

wire [15:0] bin_code = adc_data * 16'd129;

将二进制数转换成BCD码的形式,采用左移加三的算法(以8’hff为例): 1、左移要转换的二进制码1位 2、左移之后,BCD码分别置于百位、十位、个位 3、如果移位后所在的BCD码列大于或等于5,则对该值加3 4、继续左移的过程直至全部移位完成

Bin to BCD

二进制转BCD码程序实现如下:

reg		[35:0]		shift_reg; 
always@(bin_code or rst_n)begin
	shift_reg = {20'h0,bin_code};
	if(!rst_n) bcd_code = 0; 
	else begin 
		repeat(16) begin //循环16次  
			//BCD码各位数据作满5加3操作,
			if (shift_reg[19:16] >= 5) shift_reg[19:16] = shift_reg[19:16] + 2'b11;
			if (shift_reg[23:20] >= 5) shift_reg[23:20] = shift_reg[23:20] + 2'b11;
			if (shift_reg[27:24] >= 5) shift_reg[27:24] = shift_reg[27:24] + 2'b11;
			if (shift_reg[31:28] >= 5) shift_reg[31:28] = shift_reg[31:28] + 2'b11;
			if (shift_reg[35:32] >= 5) shift_reg[35:32] = shift_reg[35:32] + 2'b11;
			shift_reg = shift_reg << 1; 
		end
		bcd_code = shift_reg[35:16];   
	end 
	end

最后得到20位的数据输出,每4位表示一个BCD码,所以有5位有效数据,这里我们还需要将小数点左移4位,计算出来的数应该是X.XXXX伏特,1个整数位和4个小数位,核心板上只有两个数码管,取最高的两个BCD码显示到数码管X.X伏特,个位小数点点亮,分位小数点熄灭,程序实现如下:

//个位数码管模块例化	Segment_led u4(.seg_dot			(1'b1			),	//seg_dot input
.seg_data			(bcd_code[19:16]),	//seg_data input
.segment_led		(seg_1			)	//MSB~LSB = SEG,DP,G,F,E,D,C,B,A
); //分位数码管模块例化
Segment_led u5(.seg_dot			(1'b0			),	//seg_dot input
.seg_data			(bcd_code[15:12]),	//seg_data input
.segment_led		(seg_2			)	//MSB~LSB = SEG,DP,G,F,E,D,C,B,A
);

综合后的设计框图如下:

RTL设计框图

实验步骤

  1. 双击打开Quartus Prime工具软件;
  2. 新建工程:File → New Project Wizard(工程命名,工程目录选择,设备型号选择,EDA工具选择);
  3. 新建文件:File → New → Verilog HDL File,键入设计代码并保存;
  4. 设计综合:双击Tasks窗口页面下的Analysis & Synthesis对代码进行综合;
  5. 管脚约束:Assignments → Assignment Editor,根据项目需求分配管脚;
  6. 设计编译:双击Tasks窗口页面下的Compile Design对设计进行整体编译并生成配置文件;
  7. 程序烧录:点击Tools → Programmer打开配置工具,Program进行下载;
  8. 观察设计运行结果。

实验现象

将程序下载到FPGA中,P3接口用短路帽将1、2脚短路,旋转底板右上角的电位计,观察核心板数码管变化,如果有万用表可以测量P3短路处的电压,与数码管显示对比。

]]>
实验任务
  • 任务:基于 STEP-MAX10M08核心板 和 STEP BaseBoard V3.0底板 完成简易电压表设计并观察调试结果
  • 要求:通过底板上的串行模数转换器ADC芯片测量可调电位计输出电压,并将电压信息显示在核心板的数码管上。
  • 解析:通过FPGA编程驱动串行ADC芯片,得到数字量化的电压信息,将量化的数字信息转换成BCD码形式,同时驱动独立数码管将电压值显示出来。

实验目的

在基础数字电路实验部分我们已经掌握了FPGA驱动独立数码管的原理及方法,本实验主要学习模数转换器ADC的相关知识,串行(SPI接口)ADC芯片ADC081S101的驱动设计,同时学习二进制数转换BCD码的设计方法。

  • 学习模数转换器ADC的相关知识
  • 串行(SPI接口)ADC芯片ADC081S101的驱动设计
  • 学习二进制数转换BCD码的设计方法
  • 完成简易电压表设计实现

设计框图

根据前面的实验解析我们可以得知,该设计可以拆分成三个功能模块实现,

  • ADC081S101driver: 驱动SPI接口ADC芯片实现模拟电压信号采集。 * bintobcd:将二进制数据转换成BCD码的方法。 * Segmentled:通过驱动独立式数码管将电压数据显示出来。

Top-Down层次设计

 

模块结构设计

实验原理

ADC介绍

数字系统,是用数字信号完成对数字量进行算术运算和逻辑运算的电路称为数字电路,或数字系统。而我们生活的世界是模拟的,想要让数字系统帮我们处理我们模拟世界的问题,就需要一个桥梁来沟通数字系统和模拟系统。

模拟数字系统通信

模数转换器即A/D转换器,或简称ADC,通常是指一个将模拟信号转变为数字信号的电子元件。通常的模数转换器是将一个输入电压信号转换为一个输出的数字信号。由于数字信号本身不具有实际意义,仅仅表示一个相对大小。故任何一个模数转换器都需要一个参考模拟量作为转换的标准,比较常见的参考标准为最大的可转换信号大小。而输出的数字量则表示输入信号相对于参考信号的大小。

数模转换器,又称D/A转换器,简称DAC,它是把数字量转变成模拟的器件。D/A转换器基本上由4个部分组成,即权电阻网络、运算放大器、基准电源和模拟开关。模数转换器中一般都要用到数模转换器,模数转换器即A/D转换器,简称ADC,它是把连续的模拟信号转变为离散的数字信号的器件。

作为模拟系统与数字系统转换的桥梁,ADC和DAC有很多参数指标来标识其性能:

  • 分辨率(转换精度):指ADC或DAC能够采集或输出最小电压与最大电压之比,也是最小输入数字量1与最大输入数字量2n-1之比。分辨率通常用数字量的位数表示,一般为8位、12位、16位等,N位的ADC或DAC的分辨率为2的N次方。
  • 量程(满刻度范围 FSR):指ADC或DAC能够输入或输出模拟电压的变化范围。
  • 建立时间:建立时间是衡量DAC输出达到最终值所需的时间,指接收到要求输出的命令至输出建立到一定精度范围内(通常是0.5LSB、1LSB、2LSB)的时间。
  • 转换时间:指ADC从发出转换指令开始到获得稳定的二进制代码所需要的时间,转换时间与ADC的类型、原理和位数有关。

并行ADC和串行ADC模型

上图两个都是8位ADC模型,分辨率为 2的8次方等于256,即将Vref分成256份,能够分辨的模拟步进为Vref / 256,量化数据N = 256 * Vin / Vref 。

  • 并行ADC与数字电路接口包含一根clk和8根data管脚,clk为芯片时钟管脚,data为芯片数据管脚,每个clk周期从data管脚采集8bit的数据,完成一次模数转换,所以clk频率等于采样率。
  • 串行ADC(以ADC081S101为例)与数字电路接口为三根线(cs,clk,din),兼容三线SPI总线,cs为芯片使能管脚,clk为芯片时钟管脚,din为芯片数据管脚,当ADC芯片使能时每个clk周期从din采集1bit的数据,但是根据ADC081S101的时序,需要16个clk完成一次采样,所以clk频率至少等于采样率的16倍。
ADC模块电路连接

这里我们以STEP BaseBoard V3.0底板上的ADC模块电路,其电路图如下:

ADC模块电路

如ADC模块电路所示,FPGA直接连接ADC081S101芯片的控制端,ADC有6个管脚,3脚Vin为VCC和Vref功能复用,即Vin = VCC = Vref。ADC前端是运放电路LMV721,运放模块为电压跟随电路,再往前端是一个跳冒排针,用来选择ADC采样信号的来源,当短路帽将1、2脚短路时,ADC采集电位计电压,当短路帽将2、3脚短路时,ADC采射频端子或P4排针信号。本设计我们是采样旋转编码器的电压,所以需要用短路帽将1、2脚短路。

ADC模块驱动设计

前面我们了解ADC081S101芯片和FPGA之间连接有三根线(cs、clk、din),兼容SPI总线,SPI是串行外设接口(Serial Peripheral Interface)的缩写。SPI是一种高速的,全双工,同步的通信总线,并且在芯片的管脚上只占用四根线(cs、sck、mosi、miso),事实上3根也可以(单向传输时),占用管脚少节约了芯片的管脚,同时为PCB的布局上节省空间,正是出于这种简单易用的特性,如今越来越多的芯片集成这种通信协议。

SPI设备分为主设备和从设备,设备之间共用sck、mosi和miso,另外每个从设备有一根cs线(不共用),通信在主设备和从设备之间进行,从设备与从设备之间无法直接通信,主设备可以同时连接多个从设备,当主设备和某个从设备通信时,先控制该从设备cs信号拉低,然后通过sck、mosi和miso进行数据传输。

多设备SPI总线连接

为了让SPI总线更加灵活应用,SPI总线分为4种模式,由两个参数控制:

  • CPOL:时钟极性选择,为0时SCK空闲为低电平,为1时SCK空闲为高电平
  • CPHA:时钟相位选择,为0时在SCK第一个跳变沿采样,为1时在SCK第二个跳变沿采样
MODE0MODE1
MODE2MODE3

SPI总线协议4种模式

  • 模式1:CPOL=0,CPHA=0:此时空闲态时,SCLK处于低电平,数据采样是在第1个边沿,也就是 SCLK由低电平到高电平的跳变,所以数据采样是在上升沿,数据发送是在下降沿。
  • 模式2:CPOL=0,CPHA=1:此时空闲态时,SCLK处于低电平,数据发送是在第1个边沿,也就是 SCLK由低电平到高电平的跳变,所以数据采样是在下降沿,数据发送是在上升沿。
  • 模式3:CPOL=1,CPHA=0:此时空闲态时,SCLK处于高电平,数据采集是在第1个边沿,也就是 SCLK由高电平到低电平的跳变,所以数据采集是在下降沿,数据发送是在上升沿。
  • 模式4:CPOL=1,CPHA=1:此时空闲态时,SCLK处于高电平,数据发送是在第1个边沿,也就是 SCLK由高电平到低电平的跳变,所以数据采集是在上升沿,数据发送是在下降沿。

ADC081S101管脚说明表:

ADC081S101管脚说明

注:SDATA信号在SCLK的节拍下传输数据,当SCLK下降沿时SDATA更新数据输出,当驱动程序编程时我们要在上升沿采样数据可以得到稳定的输出。

ADC081S101串行通信时序如下图:

ADC081S101通信时序

注:

  1. SCLK空闲时为高电平,CPOL = 1,上升沿(第二个边沿)采样,CPHA = 1,如果例化通用SPI核完成设计,需要采用SPI的第四种工作模式。
  2. CS信号拉低有效,经过16个时钟完成一次ADC转换并采样,采样回来的数据前3位无效,接下来为DB7~DB0(有效数据),再接下来为无效数据。

针对ADC081S101时序,我们用Verilog设计一个计数器,当计数器值不同时完成不同操作,实现一次ADC采样,程序实现如下:

reg [7:0] cnt; //计数器
always @(posedge clk or negedge rst_n)
	if(!rst_n) cnt <= 1'b0;
	else if(cnt >= 8'd34) cnt <= 1'b0;
	else cnt <= cnt + 1'b1;
	reg [7:0] data;always @(posedge clk or negedge rst_n)
	if(!rst_n) begin
		adc_cs <= HIGH; adc_clk <= HIGH;
	end else case(cnt)
		8'd0 :  begin adc_cs <= HIGH; 
		adc_clk <= HIGH; end
		8'd1 :  begin adc_cs <= LOW;  
		adc_clk <= HIGH; end
		8'd2,8'd4,8'd6,8'd8,8'd10,8'd12,8'd14,8'd16,
		8'd18,8'd20,8'd22,8'd24,8'd26,8'd28,8'd30,8'd32:	
				begin adc_cs <= LOW;  
				adc_clk <= LOW;  
				end
		8'd3 :  begin adc_cs <= LOW;  
		adc_clk <= HIGH; 
		end //0
		8'd5 :  begin adc_cs <= LOW;  
		adc_clk <= HIGH; 
		end //1
		8'd7 :  begin adc_cs <= LOW;  
		adc_clk <= HIGH; 
		end //2
		8'd9 :  begin adc_cs <= LOW;  
		adc_clk <= HIGH; 
		data[7] <= adc_dat; 
		end //3
		8'd11 : begin adc_cs <= LOW;  
		adc_clk <= HIGH; data[6] <= adc_dat; 
		end //4
		8'd13 : begin adc_cs <= LOW;  
		adc_clk <= HIGH; data[5] <= adc_dat; 
		end //5
		8'd15 : begin adc_cs <= LOW;  
		adc_clk <= HIGH; 
		data[4] <= adc_dat; 
		end //6
		8'd17 : begin adc_cs <= LOW;  
		adc_clk <= HIGH; data[3] <= adc_dat; 
		end //7
		8'd19 : begin adc_cs <= LOW;  
		adc_clk <= HIGH; data[2] <= adc_dat; 
		end //8
		8'd21 : begin adc_cs <= LOW;  
		adc_clk <= HIGH; 
		data[1] <= adc_dat; 
		end //9
		8'd23 : begin adc_cs <= LOW;  
		adc_clk <= HIGH; data[0] <= adc_dat; 
		end //10
		8'd25 : begin adc_cs <= LOW;  
		adc_clk <= HIGH; adc_data <= data; 
		end //11
		8'd27 : begin adc_cs <= LOW;  
		adc_clk <= HIGH; adc_done <= HIGH; 
		end //12
		8'd29 : begin adc_cs <= LOW;  
		adc_clk <= HIGH; adc_done <= LOW; 
		end //13
		8'd31 : begin adc_cs <= LOW;  
		adc_clk <= HIGH; 
		end //14
		8'd33 : begin adc_cs <= LOW;  
		adc_clk <= HIGH; 
		end //15
		8'd34 : begin adc_cs <= HIGH;  
		adc_clk <= HIGH; 
		end
		default : begin adc_cs <= HIGH;  
		adc_clk <= HIGH;  
		end
	endcase

到这我们就完成了串行ADC芯片ADC081S101的驱动设计,整个采样周期用了35个系统时钟,如果我们采用12MHz时钟作为该模块系统时钟,采样率Fs = 12M/35 = 343Ksps,ADC主频Fsclk = 12 MHz /2 = 6MHz。

ADC081S101主频及采样率要求如下,按照要求我们当前的主频和采样率不足,所以在使用该模块时,可以使用更高的时钟(比如24MHz)以达到芯片的要求

ADC081S101速度

注:时钟频率Fsclk,最小值为10MHz,最大值为20MHz,采样率在500Ksps~1Msps

模块接口如下:clk和rstn为系统时钟及复位,adccs,adcclk和adcdat为ADC控制管脚,adcdata为ADC采样数据,adcdone产生一个脉冲对应adc_data得到一个有效数据

module ADC081S101_driver
(
input				clk,		//系统时钟
input				rst_n,  	//系统复位,低有效
output	reg			adc_cs,		//SPI总线CS
output	reg			adc_clk,	//SPI总线SCK
input				adc_dat,	//SPI总线SDA
output	reg			adc_done,	//ADC采样完成标志
output	reg [7:0]		adc_data	//ADC采样数据
);
系统总体实现

因为需要更高的时钟供ADC模块使用,我们例化pll核得到24MHz时钟,例化PLL的方法我们在基础数字电路实验部分已经练习过,这里就简单描述一下过程

打开Tools菜单下的IP Catalog工具,依次找到Libraty → Basic Functions → Clocks; PLLs and Resets → PLL → ALTPLL,打开ALTPLL弹出配置界面,配置inclk0输入为12MHz,配置c0的时钟输出为24MHz,其他所有选项全部默认,点击Finish完成pll的IP核例化。

在顶层模块VoltageMeas中,同时例化pll模块和ADC081S101driver模块,并将pll的c0输出与ADC081S101_driver模块的clk连线。

PLL

 

PLL

Pll模块和ADC081S101_driver模块的连接程序实现如下:

wire clk_24mhz,locked;
pll u1
(
.areset				(!rst_n			), //pll模块的复位为高有效
.inclk0				(clk			), //12MHz系统时钟输入
.c0					(clk_24mhz		), //24MHz时钟输出
.locked				(locked			)  //pll lock信号输出
); 
wire adc_done;
wire [7:0] adc_data;//使用I2C总线驱动PCF8591的ADC功能,例化
ADC081S101_driver u2(.clk				(clk_24mhz		),	//系统时钟
.rst_n				(rst_n			),	//系统复位,低有效
.adc_cs				(adc_cs			),	//SPI总线CS
.adc_clk			(adc_clk		),	//SPI总线SCK
.adc_dat			(adc_dat		),	//SPI总线SDA
.adc_done			(adc_done		),	//ADC采样完成标志
.adc_data			(adc_data		)	//ADC采样数据
);

现在可以得到ADC采样数据了,假设ADC模拟输入电压为3.3V,理论上我们得到的采样数据adc_data应该为8’hff,而电压表最终显示在数码管上的数据应该为3.3,我们如何将8’hff转换成可以显示的3.3数据呢?这就设计到ADC量化数据的逆向运算了,

我们知道量化运算 N = 256 * Vin / Vref,

那么逆向运算为Vin = N * Vref / 256,其中Vref = 3.3V,所以Vin = N * 0.0129

所以我们需要用FPGA计算adc_data * 0.0129的结果,然后为了使用十进制的显示,先将结果进行BCD转码,然后显示在数码管上。

将ADC采样数据按规则转换为电压数据(乘以0.0129),这里我们直接乘以129,得到的数据经过BCD转码后小数点左移4位即可,程序实现如下:

wire [15:0] bin_code = adc_data * 16'd129;

将二进制数转换成BCD码的形式,采用左移加三的算法(以8’hff为例): 1、左移要转换的二进制码1位 2、左移之后,BCD码分别置于百位、十位、个位 3、如果移位后所在的BCD码列大于或等于5,则对该值加3 4、继续左移的过程直至全部移位完成

Bin to BCD

二进制转BCD码程序实现如下:

reg		[35:0]		shift_reg; 
always@(bin_code or rst_n)begin
	shift_reg = {20'h0,bin_code};
	if(!rst_n) bcd_code = 0; 
	else begin 
		repeat(16) begin //循环16次  
			//BCD码各位数据作满5加3操作,
			if (shift_reg[19:16] >= 5) shift_reg[19:16] = shift_reg[19:16] + 2'b11;
			if (shift_reg[23:20] >= 5) shift_reg[23:20] = shift_reg[23:20] + 2'b11;
			if (shift_reg[27:24] >= 5) shift_reg[27:24] = shift_reg[27:24] + 2'b11;
			if (shift_reg[31:28] >= 5) shift_reg[31:28] = shift_reg[31:28] + 2'b11;
			if (shift_reg[35:32] >= 5) shift_reg[35:32] = shift_reg[35:32] + 2'b11;
			shift_reg = shift_reg << 1; 
		end
		bcd_code = shift_reg[35:16];   
	end 
	end

最后得到20位的数据输出,每4位表示一个BCD码,所以有5位有效数据,这里我们还需要将小数点左移4位,计算出来的数应该是X.XXXX伏特,1个整数位和4个小数位,核心板上只有两个数码管,取最高的两个BCD码显示到数码管X.X伏特,个位小数点点亮,分位小数点熄灭,程序实现如下:

//个位数码管模块例化	Segment_led u4(.seg_dot			(1'b1			),	//seg_dot input
.seg_data			(bcd_code[19:16]),	//seg_data input
.segment_led		(seg_1			)	//MSB~LSB = SEG,DP,G,F,E,D,C,B,A
); //分位数码管模块例化
Segment_led u5(.seg_dot			(1'b0			),	//seg_dot input
.seg_data			(bcd_code[15:12]),	//seg_data input
.segment_led		(seg_2			)	//MSB~LSB = SEG,DP,G,F,E,D,C,B,A
);

综合后的设计框图如下:

RTL设计框图

实验步骤

  1. 双击打开Quartus Prime工具软件;
  2. 新建工程:File → New Project Wizard(工程命名,工程目录选择,设备型号选择,EDA工具选择);
  3. 新建文件:File → New → Verilog HDL File,键入设计代码并保存;
  4. 设计综合:双击Tasks窗口页面下的Analysis & Synthesis对代码进行综合;
  5. 管脚约束:Assignments → Assignment Editor,根据项目需求分配管脚;
  6. 设计编译:双击Tasks窗口页面下的Compile Design对设计进行整体编译并生成配置文件;
  7. 程序烧录:点击Tools → Programmer打开配置工具,Program进行下载;
  8. 观察设计运行结果。

实验现象

将程序下载到FPGA中,P3接口用短路帽将1、2脚短路,旋转底板右上角的电位计,观察核心板数码管变化,如果有万用表可以测量P3短路处的电压,与数码管显示对比。

]]>
//www.cazqn.com/article/202312/453845.htm Wed, 13 Dec 2023 09:27:57 +0800
<![CDATA[ 普罗通信总裁黄亨利加入LoRa联盟董事会,促进亚洲市场增长及LoRaWAN认证CM设备的多样性 ]]> 致力于推广物联网(IoT)低功耗广域网(LPWANs)开放式LoRaWAN®协议的全球企业协会LoRa联盟®今日宣布普罗通信首席执行官黄亨利加入其董事会。作为著名的LoRaWAN终端设备制造商,普罗通信将反映联盟成员在这一领域的需求,同时提高联盟在亚洲的参与度。

LoRa联盟首席执行官兼主席唐娜·摩尔(Donna Moore)指出:「我们今年看到的一个关键趋势是全球制造商使用LoRaWAN标准终端设备的数量和种类都在快速增长。LoRaWAN认证CM终端设备持续增长很大程度上是因为争夺要求认证设备的招标。我很高兴普罗通信首席执行官黄亨利能加入董事会,他将在两个方面为我们的组织带来有价值的意见。首先,作为终端设备制造商,普罗通信代表了我们生态系统中的重要一环。其次,他致力于帮助面临全球挑战的东南亚地区,使用LoRaWAN将使这些地区受益匪浅。在利用LoRaWAN支持这些社区、提高生活质量和解决环境问题方面,我期待他能发挥主导作用。」

image.png

在各项LPWAN技术中,LoRaWAN标准是独一无二的,因为它基于开放标准,并且有整个价值链的庞大供应商生态系统在背后支持。使用开放系统能够消除供应商锁定等与专有方法相关的问题,同时鼓励生态系统成员之间的创新与协作。在无比稳健的LPWAN认证计划的支持下,LoRaWAN将保持智能城市、智能建筑和智能公用事业应用等领域的领导地位,这些领域必须部署经过认证的设备。

黄亨利表示:「我们期待为LoRa联盟提供支持,分享我们作为LoRaWAN网关和终端设备制造商的观点,并贡献我们对本地物联网市场动态的了解。我希望在亚洲扮演LoRaWAN大使的角色,努力宣传、培养和提高亚洲对LoRaWAN的兴趣,提高这项技术在物联网部署中的普及率,同时为其他成员创造更多商机。」

]]>
致力于推广物联网(IoT)低功耗广域网(LPWANs)开放式LoRaWAN®协议的全球企业协会LoRa联盟®今日宣布普罗通信首席执行官黄亨利加入其董事会。作为著名的LoRaWAN终端设备制造商,普罗通信将反映联盟成员在这一领域的需求,同时提高联盟在亚洲的参与度。

LoRa联盟首席执行官兼主席唐娜·摩尔(Donna Moore)指出:「我们今年看到的一个关键趋势是全球制造商使用LoRaWAN标准终端设备的数量和种类都在快速增长。LoRaWAN认证CM终端设备持续增长很大程度上是因为争夺要求认证设备的招标。我很高兴普罗通信首席执行官黄亨利能加入董事会,他将在两个方面为我们的组织带来有价值的意见。首先,作为终端设备制造商,普罗通信代表了我们生态系统中的重要一环。其次,他致力于帮助面临全球挑战的东南亚地区,使用LoRaWAN将使这些地区受益匪浅。在利用LoRaWAN支持这些社区、提高生活质量和解决环境问题方面,我期待他能发挥主导作用。」

image.png

在各项LPWAN技术中,LoRaWAN标准是独一无二的,因为它基于开放标准,并且有整个价值链的庞大供应商生态系统在背后支持。使用开放系统能够消除供应商锁定等与专有方法相关的问题,同时鼓励生态系统成员之间的创新与协作。在无比稳健的LPWAN认证计划的支持下,LoRaWAN将保持智能城市、智能建筑和智能公用事业应用等领域的领导地位,这些领域必须部署经过认证的设备。

黄亨利表示:「我们期待为LoRa联盟提供支持,分享我们作为LoRaWAN网关和终端设备制造商的观点,并贡献我们对本地物联网市场动态的了解。我希望在亚洲扮演LoRaWAN大使的角色,努力宣传、培养和提高亚洲对LoRaWAN的兴趣,提高这项技术在物联网部署中的普及率,同时为其他成员创造更多商机。」

]]>
//www.cazqn.com/article/202312/453844.htm Wed, 13 Dec 2023 09:23:20 +0800
<![CDATA[ 串口监视系统设计 ]]> 实验任务
  • 任务:基于 STEP-MAX10M08核心板 和 STEP BaseBoard V3.0底板 完成串口监视系统设计并观察调试结果。
  • 要求:设计串口监视系统,实时监控串口(UART)接收数据,并将数据显示在底板的8位数码管上(仅限数字0~9)。
  • 解析:通过FPGA编程驱动底板上的CP2102串口通信模块,接收来自PC(串口调试助手)或其他串口设备的数据,经过处理,最后通过驱动8位扫描式数码管模块,将接收到的数据显示在底板数码管上。

实验目的

本实验主要学习串口(UART)总线工作原理、协议及相关知识,练习如何使用FPGA驱动CP2102模块实现串口通信设计,同时复习上节中扫描式数码管模块的实例化应用。

  • 熟悉串口(UART)总线工作原理及通信协议
  • 完成基于FPGA的串口通信模块设计
  • 完成串口监视系统设计实现

设计框图

根据前面的实验解析我们可以得知,该设计可以拆分成三个功能模块实现,

  • UartBus: UART串口通信设计,实现串口通信数据传输。 * Decoder:将UART模块接收到的数据转换成用于数码管显示的BCD码。 * Segmentscan:通过驱动底板扫描式数码管将串口接收的数据显示出来。

顶层模块DisplayCtl通过实例化两个子模块并将对应的信号连接,最终实现串口监视系统的总体设计。UART通信是全双工的,接收和发送是两个独立的设计,本实验只需要接收数据,串口通信有两个关键因素:传输格式和传输速率,我们可以用两个模块分别实现: * Baud:控制UART通信数据传输速率。 * UartRx:根据数据传输速率节拍控制UART通信数据格式。

Top-Down层次设计

模块结构设计

实验原理

UART接口介绍

UART通信接口

在嵌入式领域里说的串口一般就是说的UART接口,通用异步收发传输器(Universal Asynchronous Receiver/Transmitter),通常称作UART,是一种通用串行数据总线,用于异步通信。该总线双向通信,可以实现全双工传输和接收。

RS232串行通信接口

在系统或计算机中说的串口一般就是说的RS232接口,也叫COM口,也叫DB9,老式的电脑和台式机上一般都有这个接口,接口有9个引脚,最重要的三个引脚:TXD、RXD、GND,基本通信逻辑与UART完全一致,为了增加串口通信的抗干扰能力,RS232串行通信接口定义了自己的电平标准,采用负逻辑电平,它定义+5~+12V为低电平,而-12~-5V为高电平,相当于在UART的基础上增加驱动器,将原来UART通信电平标准调整为RS232的电平标准,通信原理如下:

RS232串口通信

随着技术的发展,各种通信接口种类越来越多,方案越来越稳定,成本越来越低,体积越来越小巧,RS232串口通信接口方案逐渐被抛弃,取而代之的是各种更高速,更稳定,更小巧的接口,USB就是其中应用较广的,为了实现UART通信,一种USB转UART的方案被广泛应用,常用的USB转UART方案有CP2102、FT232、CH340等等

USB转UART通信

我们STEP BaseBoard V3.0底板集成的UART通信模块就是采用CP2102方案,FPGA通过UART总线驱动CP2102实现USB和UART之间的数据通信,最终实现FPGA与电脑之间的数据传输,UART通信的时序如下。

UART通信时序

  • 起始位:先发出一个逻辑 0 信号,表示传输字符的开始。
  • 数据位:可以是5~8位逻辑 0 或 1 。如ASCII码( 7位) ,扩展BCD码( 8位) 。
  • 校验位:数据位加上这一位后,使得 1 的位数应为偶数(偶校验)或奇数(奇校验)。
  • 停止位:它是一个字符数据的结束标志。可以是1位、1.5位、2位的高电平。
  • 空闲位:处于逻辑 1 状态,表示当前线路上没有资料传送。
UART模块连接

STEP BaseBoard V3.0底板上的基于CP2102方案的UART通信模块电路图如下:

UART通信模块电路

上图为基于CP2102方案的UART通信模块电路图,可以看到CP2102方案非常简洁,无需外置USB通信时钟晶体(内部集成),CP2102芯片TXD和RXD分别与FPGA芯片RXD和TXD连接,同时两个信号都连接了LED灯,这样当UART通信时,随着数据传输对应LED灯也会快速闪烁,起到UART通信指示灯的作用。CP2102芯片DTR和RTS通过两个三极管搭建流控电路,连接WIFI模块ESP8266-12F,使用UART模块烧写ESP8266模块的固件时就无需手动进入固件烧写模式了,这个会在后续涉及WIFI通信的实验中详细介绍,这里可以不用理会。

UART驱动实现

串行总线对比

SPI、I2C、UART总线对比表:

SPI总线I2C总线UART总线
SSSCLTXD
SCKSDARXD
MOSI/MISO

对于SPI总线,通信双方在总线使能的情况下,通过SCK的上升沿或下降沿触发完成总线数据的采样,这样通信双方就可以准确的接收到对方传送的数据了。对于I2C总线,通信接收方通过SCL的高电平触发完成总线数据的采样。综上,SPI总线中的SCK和I2C总线中的SCL在通信中起到时钟的作用,接收方都是根据时钟的对应状态采样数据,最终保证通信能够正常进行。

对于UART总线,TXD和RXD分别用于发送和接收数据,相当于两根独立工作的单线总线,没有了时钟线的配合,那么接收端应该怎样获取发送端传输的数据呢?其实也是有方法的,那就是通信双方需要约定好UART总线数据传输的通信速率时序格式

通信速率

UART的数据传输速度用波特率来描述,也就是UART每秒接收或发送的数据位。例如9600波特率表示每秒钟发送或接收9600比特的数据,即发送端需要将发送的每个数据位保持对应的时间,计算如下:

  • 1s / 9600 = 1000000us / 9600 = 104.17us

小脚丫硬件上使用12MHz的时钟晶振,如果以12MHz时钟信号作为系统时钟,使用计数器延时完成UART通信数据采样,那么计数器延时计数终值计算如下:

  • 12M / 9600 = 1250

因为波特率是协议里约定的,为保证协议的通用性和灵活性,波特率参数有固定的选项,不可以随意设置(如果UART通信双方都是自己编程的,可以根据自己的要求定义自己需要的波特率,这种情况除外),波特率参数选项很多,大家可以打开串口调试助手工具找到波特率配置列表查看,我们比较常用的波特率值有以下几种:

UART常用波特率:

12004800960038400115200

时序格式

关于时序格式在前面UART接口介绍部分也简单说了一下,通信过程中时序依次为:起始位、数据位、校验位、停止位、空闲位,其中数据位可以是5~8位,本设计我们使用8位数据,校验位可以省略,最后确定的时序格式如下:

本实验UART通信时序

前面所说的通信速率和时序格式其实就是UART通信中的两个重要的参数,需要传输的数据根据通信速率的节拍按照UART的时序格式输出,就可以实现UART通信了,可以按照下面三个步骤实现。

  1. 将需要发送的数据与起始位和停止位组成10bit位宽的数据
  2. 计数器计数延迟产生相应波特率需要的时序节拍
  3. 数据按照(起始位—bit0~bit7—停止位)的时序串行输出

例如,将8‘h73和8’h5a通过UART发送的时序,红色箭头为波特率对应的节拍点

UART发送数据实例

对于UART发送数据来说,波特率节拍是自己产生的,数据是自己主动发出的,逻辑相对简单,而当UART接收数据的时候,因为不确定对方什么时候发送数据,所以需要对RX信号持续检测,当检测到有数据传送时,根据约定的波特率节拍采样,可以按照下面三个步骤实现。

  1. 检测UART的RXD信号的下降沿(自锁,完成接收后再解锁继续检测)
  2. 接收采样时,采样点应该在计数器的中值点进行
  3. 将采样后的数据按照UART时序的要求重新组成8bit的数据

例如,当UART的RX端接收到数据8‘h73和8’h5a的时候,红色箭头为检测到数据传输的点,绿色箭头为对应的采样节拍点(采样点在数据中间最是稳定)。

UART接收数据实例

通过以上理论,我们了解了UART发送和接收数据的整个流程,两个过程中我们都需要波特率节拍,那么我们就可以设计一个节拍模块Baud,这样我们的发送和接收都可以实例化节拍模块用于产生对应波特率的节拍信号。

节拍模块Baud设计实现:

节拍模块Baud的端口程序实现如下:

module Baud #
(
parameter				BPS_PARA = 1250 //12MHz时钟时参数1250对应9600的波特率
)
(
input					clk,		//系统时钟
input					rst_n,		//系统复位,低有效
input					bps_en,		//接收或发送时钟使能
output	reg				bps_clk		//接收或发送时钟输出
);

设计一个计数器用于分频产生对应波特率节拍信号,因为UART随时可能接收数据,所以节拍模块必须随时待命,保持计数器清零,当需要节拍信号时精准地输出。

计数器设计程序实现如下:

reg				[12:0]	cnt;//计数器计数满足波特率时钟要求
always @ (posedge clk or negedge rst_n) begin
	if(!rst_n) 
		cnt <= 1'b0;
	else if((cnt >= BPS_PARA-1)||(!bps_en)) //当时钟信号不使能(bps_en为低电平)时,计数器清零并停止计数
		cnt <= 1'b0;	                    //当时钟信号使能时,计数器对系统时钟计数,周期为BPS_PARA个系统时钟周期
	else 
		cnt <= cnt + 1'b1;
		end

当bpsen(高有效)使能,计数器计数周期由参数BPSPARA来决定,前面数据接收时序部分了解到,从RX检测到下降沿开始计数器工作,到数据采样点需要半个节拍的时间,而数据发送时只要保证相邻两个节拍点之间的时间为一个计数器周期即可,所以我们可以在计数器计数到中值时产生一个脉冲信号充当节拍信号。

节拍信号产生程序实现如下:

//产生相应波特率的时钟节拍,接收模块将以此节拍进行UART数据接收
always @ (posedge clk or negedge rst_n) begin
	if(!rst_n) 
		bps_clk <= 1'b0;
	else if(cnt == (BPS_PARA>>1)) //右移一位等于除以2,终值BPS_PARA为数据更替点,中值数据稳定,做采样点
		bps_clk <= 1'b1;	
	else 
		bps_clk <= 1'b0;
		end

发送模块Uart_Tx设计实现:

前级电路通过txdatavalid和txdatain将需要发送的数据传输进来,当txdatavalid有脉冲信号时,txdatain信号为有效数据,拼接起始位和停止位后赋值给txdatar,同时控制节拍使能信号使能并自锁,然后等发送完10bit数据后解除使能。

数据发送控制程序实现如下:

output	reg				bps_en;			//发送时钟使能
input					bps_clk;		//发送时钟输入
input					tx_data_valid;	//发送数据有效脉冲
input				[7:0]	tx_data_in;		//要发送的数据
output	reg				uart_tx;			//UART发送输出 
reg				[3:0]	num;
reg				[9:0]	tx_data_r;	//融合了起始位和停止位的数据//驱动发送数据操作
always @ (posedge clk or negedge rst_n) begin
	if(!rst_n) begin
		bps_en <= 1'b0;
		tx_data_r <= 10'd0;
	end else if(tx_data_valid && (!bps_en))begin	
		bps_en <= 1'b1;		//当检测到接收时钟使能信号的下降沿,表明接收完成,需要发送数据,使能发送时钟使能信号
		tx_data_r <= {1'b1,tx_data_in,1'b0};	
	end else if(num==4'd10) begin	
		bps_en <= 1'b0;		//一次UART发送需要10个时钟信号,然后结束
	end
	end

UART数据发送时序程序实现如下:

//当处于工作状态中时,按照发送时钟的节拍发送数据
always @ (posedge clk or negedge rst_n) begin
	if(!rst_n) begin
		num <= 1'b0;
		uart_tx <= 1'b1;
	end else if(bps_en) begin
		if(bps_clk) begin
			num <= num + 1'b1;
			uart_tx <= tx_data_r[num];
		end else if(num>=4'd10) 
			num <= 4'd0;	
	end
	end

将节拍模块Baud和发送模块Uart_tx实例化并连接,完成发送功能的设计,如下

UART发送功能设计实现

接收模块Uart_Rx设计实现:

首先对RX信号多级缓存消除亚稳态,同时检测下降沿,程序实现如下:

input				uart_rx;	//UART接收输入 
reg	uart_rx0,uart_rx1,uart_rx2;	//多级延时锁存去除亚稳态
always @ (posedge clk) begin
	uart_rx0 <= uart_rx;
	uart_rx1 <= uart_rx0;
	uart_rx2 <= uart_rx1;
	end //检测UART接收输入信号的下降沿
	wire	neg_uart_rx = uart_rx2 & ~uart_rx1;

当检测RX有下降沿后,使能节拍使能信号,同时自锁直到完成接收操作后再复位节拍使能信号。程序实现如下:

reg				[3:0]	num;			//接收时钟使能信号的控制
always @ (posedge clk or negedge rst_n) begin
	if(!rst_n)
		bps_en <= 1'b0;
	else if(neg_uart_rx && (!bps_en)) 
//当空闲状态(bps_en为低电平)时检测到UART接收信号下降沿,进入工作状态(bps_en为高电平),控制时钟模块产生接收时钟
		bps_en <= 1'b1;		
	else if(num==4'd9)		            //当完成一次UART接收操作后,退出工作状态,恢复空闲状态
		bps_en <= 1'b0;			
		end

根据节拍信号完成UART总线的数据采样,得到8位有效数据,程序实现如下:

reg				[7:0]	rx_data;//当处于工作状态中时,按照接收时钟的节拍获取数据
always @ (posedge clk or negedge rst_n) begin
	if(!rst_n) begin
		num <= 4'd0;
		rx_data <= 8'd0;
	end else if(bps_en) begin	
		if(bps_clk) begin			
			num <= num + 1'b1;
			if(num<=4'd8) rx_data[num-1] <= uart_rx1; //先接受低位再接收高位,8位有效数据
		end else if(num == 4'd9) begin		          //完成一次UART接收操作后,将获取的数据输出
			num <= 4'd0;				
		end
	end else begin
		num <= 4'd0;
	end
	end

当UART接收操作完成后,将得到的8位有效数据输出给后级电路,程序实现如下:

//将接收的数据输出,同时控制输出有效信号产生脉冲
always @ (posedge clk or negedge rst_n) begin
	if(!rst_n) begin
		rx_data_out <= 8'd0;
		rx_data_valid <= 1'b0;
	end else if(num == 4'd9) begin	
		rx_data_out <= rx_data;
		rx_data_valid <= 1'b1;
	end else begin
		rx_data_out <= rx_data_out;
		rx_data_valid <= 1'b0;
	end
	end

最后将节拍模块Baud和接收模块Uart_rx实例化并连接,完成发送功能的设计,如下

UART发送功能设计实现

整个UART驱动设计是由两个独立的功能组合而成:发送功能部分和接收功能部分。UART功能总体设计框图如下:

RTL设计框图

当我们需要UART发送数据的时候只需要实例化发送功能部分设计,需要UART接收数据的时候只需要实例化接收功能部分设计,例如本设计中FPGA驱动UART模块接收电脑串口调试助手发出的数据,所以我们就只需要实例化接收功能部分设计即可。

系统总体实现

刚刚学习了UART通信模块,本设计只需要使用接收功能部分设计,每一次通信都会得到一个8位数据,怎样将8位数据对应得数据显示在数码管上呢?我来先来了解一下UART接受到的8位数据与要显示数字的关系

串口调试助手界面

上图为电脑端友善串口调试助手的界面,当我们将硬件连接,在串口设置串口选定串口对应的端口,并按上图配置波特率、数据位、校验位、停止位、流控等,点击开始建立连接,接下来我们就可以在串口发送窗口输入要发送的数据,点击发送后数据传输出去。在发送设置有两个选项:ASCII和Hex ,

  • 当选择ASCII的时候,通过UART发出的数据是数据窗口中字符的ASCII码值,每个字符的ASCII码值都是8位数据,所以窗口中字符数量与UART传输的次数是相等的,同时数字的值与ASCII码值相差48,例如数字0的ASCII码值为48。
  • 当选择Hex的时候,通过UART发出的数据(必须是16进制数据)就是数据窗口中的数据本身,这样每次UART传输都会发送两个数字,如果只发送一个数字,则高位补零组成8位数据,例如发送数字1,实际UART传输的数据为8‘h01。

我们设计一个32位的移位寄存器对应8位数码管,按照BCD码格式每4位表示一个数字,每次接收到UART数据都存到移位寄存器中,同时控制数码管显示相应的数码管位,Decoder程序实现如下:

`ifdef HEX_FORMAT //如果用define定义过HEX_FORMAT
	//采用16进制格式,接收到的数据等于数值本身
	wire [7:0] seg_data_r = rx_data_out; 	//移位寄存器,对应8位数码管数据BCD码
	always @ (posedge rx_data_valid or negedge rst_n) begin
		if(!rst_n) seg_data <= 1'b0;
		else seg_data <= {seg_data[23:0],seg_data_r};
	end 	//移位寄存器,对应8位数码管数据显示使能
	always @ (posedge rx_data_valid or negedge rst_n) begin
		if(!rst_n) data_en <= 1'b0;
		else data_en <= {data_en[5:0],2'b11};
	end`else
	//采用字符格式,接收到的数据为字符ASCII码值,与数字值相差48
	wire [7:0] seg_data_r = rx_data_out - 8'd48; 	//移位寄存器,对应8位数码管数据BCD码
	always @ (posedge rx_data_valid or negedge rst_n) begin
		if(!rst_n) seg_data <= 1'b0;
		else seg_data <= {seg_data[27:0],seg_data_r[3:0]};
	end 	//移位寄存器,对应8位数码管数据显示使能
	always @ (posedge rx_data_valid or negedge rst_n) begin
		if(!rst_n) data_en <= 1'b0;
		else data_en <= {data_en[6:0],1'b1};
	end
	`endif

上面程序中ifdef……else……endif语句为预编译指令,与C预演类似。如果我们使用串口助手Hex(16进制)格式发送数据,需要在程序中使用define定义参数HEX_FORMAT,如果使用ASCII格式发送数据,则不需要定义。 <code verilog> define HEXFORMAT 串口助手使用Hex格式发送时定义HEX_FORMAT,否则不定义 </code> 综合后的设计框图如下: RTL设计框图 

#### 实验步骤 - 双击打开Quartus Prime工具软件; - 新建工程:File → New Project Wizard(工程命名,工程目录选择,设备型号选择,EDA工具选择); - 新建文件:File → New → Verilog HDL File,键入设计代码并保存; - 设计综合:双击Tasks窗口页面下的Analysis & Synthesis对代码进行综合; - 管脚约束:Assignments → Assignment Editor,根据项目需求分配管脚; - 设计编译:双击Tasks窗口页面下的Compile Design对设计进行整体编译并生成配置文件; - 程序烧录:点击Tools → Programmer打开配置工具,Program进行下载; - 观察设计运行结果。 #### 实验现象 使用两根Micro-USB线同时连接核心板和底板的USB接口,将程序下载到FPGA中,数码管处于不显示的状态,打开电脑上的串口调试助手,按照前面图片配置相应参数,在数据发送窗口输入数字,点击发送观察底板数码管的变化,重新输入数字,点击发送再次观察底板数码管的变化。

]]>
实验任务
  • 任务:基于 STEP-MAX10M08核心板 和 STEP BaseBoard V3.0底板 完成串口监视系统设计并观察调试结果。
  • 要求:设计串口监视系统,实时监控串口(UART)接收数据,并将数据显示在底板的8位数码管上(仅限数字0~9)。
  • 解析:通过FPGA编程驱动底板上的CP2102串口通信模块,接收来自PC(串口调试助手)或其他串口设备的数据,经过处理,最后通过驱动8位扫描式数码管模块,将接收到的数据显示在底板数码管上。

实验目的

本实验主要学习串口(UART)总线工作原理、协议及相关知识,练习如何使用FPGA驱动CP2102模块实现串口通信设计,同时复习上节中扫描式数码管模块的实例化应用。

  • 熟悉串口(UART)总线工作原理及通信协议
  • 完成基于FPGA的串口通信模块设计
  • 完成串口监视系统设计实现

设计框图

根据前面的实验解析我们可以得知,该设计可以拆分成三个功能模块实现,

  • UartBus: UART串口通信设计,实现串口通信数据传输。 * Decoder:将UART模块接收到的数据转换成用于数码管显示的BCD码。 * Segmentscan:通过驱动底板扫描式数码管将串口接收的数据显示出来。

顶层模块DisplayCtl通过实例化两个子模块并将对应的信号连接,最终实现串口监视系统的总体设计。UART通信是全双工的,接收和发送是两个独立的设计,本实验只需要接收数据,串口通信有两个关键因素:传输格式和传输速率,我们可以用两个模块分别实现: * Baud:控制UART通信数据传输速率。 * UartRx:根据数据传输速率节拍控制UART通信数据格式。

Top-Down层次设计

模块结构设计

实验原理

UART接口介绍

UART通信接口

在嵌入式领域里说的串口一般就是说的UART接口,通用异步收发传输器(Universal Asynchronous Receiver/Transmitter),通常称作UART,是一种通用串行数据总线,用于异步通信。该总线双向通信,可以实现全双工传输和接收。

RS232串行通信接口

在系统或计算机中说的串口一般就是说的RS232接口,也叫COM口,也叫DB9,老式的电脑和台式机上一般都有这个接口,接口有9个引脚,最重要的三个引脚:TXD、RXD、GND,基本通信逻辑与UART完全一致,为了增加串口通信的抗干扰能力,RS232串行通信接口定义了自己的电平标准,采用负逻辑电平,它定义+5~+12V为低电平,而-12~-5V为高电平,相当于在UART的基础上增加驱动器,将原来UART通信电平标准调整为RS232的电平标准,通信原理如下:

RS232串口通信

随着技术的发展,各种通信接口种类越来越多,方案越来越稳定,成本越来越低,体积越来越小巧,RS232串口通信接口方案逐渐被抛弃,取而代之的是各种更高速,更稳定,更小巧的接口,USB就是其中应用较广的,为了实现UART通信,一种USB转UART的方案被广泛应用,常用的USB转UART方案有CP2102、FT232、CH340等等

USB转UART通信

我们STEP BaseBoard V3.0底板集成的UART通信模块就是采用CP2102方案,FPGA通过UART总线驱动CP2102实现USB和UART之间的数据通信,最终实现FPGA与电脑之间的数据传输,UART通信的时序如下。

UART通信时序

  • 起始位:先发出一个逻辑 0 信号,表示传输字符的开始。
  • 数据位:可以是5~8位逻辑 0 或 1 。如ASCII码( 7位) ,扩展BCD码( 8位) 。
  • 校验位:数据位加上这一位后,使得 1 的位数应为偶数(偶校验)或奇数(奇校验)。
  • 停止位:它是一个字符数据的结束标志。可以是1位、1.5位、2位的高电平。
  • 空闲位:处于逻辑 1 状态,表示当前线路上没有资料传送。
UART模块连接

STEP BaseBoard V3.0底板上的基于CP2102方案的UART通信模块电路图如下:

UART通信模块电路

上图为基于CP2102方案的UART通信模块电路图,可以看到CP2102方案非常简洁,无需外置USB通信时钟晶体(内部集成),CP2102芯片TXD和RXD分别与FPGA芯片RXD和TXD连接,同时两个信号都连接了LED灯,这样当UART通信时,随着数据传输对应LED灯也会快速闪烁,起到UART通信指示灯的作用。CP2102芯片DTR和RTS通过两个三极管搭建流控电路,连接WIFI模块ESP8266-12F,使用UART模块烧写ESP8266模块的固件时就无需手动进入固件烧写模式了,这个会在后续涉及WIFI通信的实验中详细介绍,这里可以不用理会。

UART驱动实现

串行总线对比

SPI、I2C、UART总线对比表:

SPI总线I2C总线UART总线
SSSCLTXD
SCKSDARXD
MOSI/MISO

对于SPI总线,通信双方在总线使能的情况下,通过SCK的上升沿或下降沿触发完成总线数据的采样,这样通信双方就可以准确的接收到对方传送的数据了。对于I2C总线,通信接收方通过SCL的高电平触发完成总线数据的采样。综上,SPI总线中的SCK和I2C总线中的SCL在通信中起到时钟的作用,接收方都是根据时钟的对应状态采样数据,最终保证通信能够正常进行。

对于UART总线,TXD和RXD分别用于发送和接收数据,相当于两根独立工作的单线总线,没有了时钟线的配合,那么接收端应该怎样获取发送端传输的数据呢?其实也是有方法的,那就是通信双方需要约定好UART总线数据传输的通信速率时序格式

通信速率

UART的数据传输速度用波特率来描述,也就是UART每秒接收或发送的数据位。例如9600波特率表示每秒钟发送或接收9600比特的数据,即发送端需要将发送的每个数据位保持对应的时间,计算如下:

  • 1s / 9600 = 1000000us / 9600 = 104.17us

小脚丫硬件上使用12MHz的时钟晶振,如果以12MHz时钟信号作为系统时钟,使用计数器延时完成UART通信数据采样,那么计数器延时计数终值计算如下:

  • 12M / 9600 = 1250

因为波特率是协议里约定的,为保证协议的通用性和灵活性,波特率参数有固定的选项,不可以随意设置(如果UART通信双方都是自己编程的,可以根据自己的要求定义自己需要的波特率,这种情况除外),波特率参数选项很多,大家可以打开串口调试助手工具找到波特率配置列表查看,我们比较常用的波特率值有以下几种:

UART常用波特率:

12004800960038400115200

时序格式

关于时序格式在前面UART接口介绍部分也简单说了一下,通信过程中时序依次为:起始位、数据位、校验位、停止位、空闲位,其中数据位可以是5~8位,本设计我们使用8位数据,校验位可以省略,最后确定的时序格式如下:

本实验UART通信时序

前面所说的通信速率和时序格式其实就是UART通信中的两个重要的参数,需要传输的数据根据通信速率的节拍按照UART的时序格式输出,就可以实现UART通信了,可以按照下面三个步骤实现。

  1. 将需要发送的数据与起始位和停止位组成10bit位宽的数据
  2. 计数器计数延迟产生相应波特率需要的时序节拍
  3. 数据按照(起始位—bit0~bit7—停止位)的时序串行输出

例如,将8‘h73和8’h5a通过UART发送的时序,红色箭头为波特率对应的节拍点

UART发送数据实例

对于UART发送数据来说,波特率节拍是自己产生的,数据是自己主动发出的,逻辑相对简单,而当UART接收数据的时候,因为不确定对方什么时候发送数据,所以需要对RX信号持续检测,当检测到有数据传送时,根据约定的波特率节拍采样,可以按照下面三个步骤实现。

  1. 检测UART的RXD信号的下降沿(自锁,完成接收后再解锁继续检测)
  2. 接收采样时,采样点应该在计数器的中值点进行
  3. 将采样后的数据按照UART时序的要求重新组成8bit的数据

例如,当UART的RX端接收到数据8‘h73和8’h5a的时候,红色箭头为检测到数据传输的点,绿色箭头为对应的采样节拍点(采样点在数据中间最是稳定)。

UART接收数据实例

通过以上理论,我们了解了UART发送和接收数据的整个流程,两个过程中我们都需要波特率节拍,那么我们就可以设计一个节拍模块Baud,这样我们的发送和接收都可以实例化节拍模块用于产生对应波特率的节拍信号。

节拍模块Baud设计实现:

节拍模块Baud的端口程序实现如下:

module Baud #
(
parameter				BPS_PARA = 1250 //12MHz时钟时参数1250对应9600的波特率
)
(
input					clk,		//系统时钟
input					rst_n,		//系统复位,低有效
input					bps_en,		//接收或发送时钟使能
output	reg				bps_clk		//接收或发送时钟输出
);

设计一个计数器用于分频产生对应波特率节拍信号,因为UART随时可能接收数据,所以节拍模块必须随时待命,保持计数器清零,当需要节拍信号时精准地输出。

计数器设计程序实现如下:

reg				[12:0]	cnt;//计数器计数满足波特率时钟要求
always @ (posedge clk or negedge rst_n) begin
	if(!rst_n) 
		cnt <= 1'b0;
	else if((cnt >= BPS_PARA-1)||(!bps_en)) //当时钟信号不使能(bps_en为低电平)时,计数器清零并停止计数
		cnt <= 1'b0;	                    //当时钟信号使能时,计数器对系统时钟计数,周期为BPS_PARA个系统时钟周期
	else 
		cnt <= cnt + 1'b1;
		end

当bpsen(高有效)使能,计数器计数周期由参数BPSPARA来决定,前面数据接收时序部分了解到,从RX检测到下降沿开始计数器工作,到数据采样点需要半个节拍的时间,而数据发送时只要保证相邻两个节拍点之间的时间为一个计数器周期即可,所以我们可以在计数器计数到中值时产生一个脉冲信号充当节拍信号。

节拍信号产生程序实现如下:

//产生相应波特率的时钟节拍,接收模块将以此节拍进行UART数据接收
always @ (posedge clk or negedge rst_n) begin
	if(!rst_n) 
		bps_clk <= 1'b0;
	else if(cnt == (BPS_PARA>>1)) //右移一位等于除以2,终值BPS_PARA为数据更替点,中值数据稳定,做采样点
		bps_clk <= 1'b1;	
	else 
		bps_clk <= 1'b0;
		end

发送模块Uart_Tx设计实现:

前级电路通过txdatavalid和txdatain将需要发送的数据传输进来,当txdatavalid有脉冲信号时,txdatain信号为有效数据,拼接起始位和停止位后赋值给txdatar,同时控制节拍使能信号使能并自锁,然后等发送完10bit数据后解除使能。

数据发送控制程序实现如下:

output	reg				bps_en;			//发送时钟使能
input					bps_clk;		//发送时钟输入
input					tx_data_valid;	//发送数据有效脉冲
input				[7:0]	tx_data_in;		//要发送的数据
output	reg				uart_tx;			//UART发送输出 
reg				[3:0]	num;
reg				[9:0]	tx_data_r;	//融合了起始位和停止位的数据//驱动发送数据操作
always @ (posedge clk or negedge rst_n) begin
	if(!rst_n) begin
		bps_en <= 1'b0;
		tx_data_r <= 10'd0;
	end else if(tx_data_valid && (!bps_en))begin	
		bps_en <= 1'b1;		//当检测到接收时钟使能信号的下降沿,表明接收完成,需要发送数据,使能发送时钟使能信号
		tx_data_r <= {1'b1,tx_data_in,1'b0};	
	end else if(num==4'd10) begin	
		bps_en <= 1'b0;		//一次UART发送需要10个时钟信号,然后结束
	end
	end

UART数据发送时序程序实现如下:

//当处于工作状态中时,按照发送时钟的节拍发送数据
always @ (posedge clk or negedge rst_n) begin
	if(!rst_n) begin
		num <= 1'b0;
		uart_tx <= 1'b1;
	end else if(bps_en) begin
		if(bps_clk) begin
			num <= num + 1'b1;
			uart_tx <= tx_data_r[num];
		end else if(num>=4'd10) 
			num <= 4'd0;	
	end
	end

将节拍模块Baud和发送模块Uart_tx实例化并连接,完成发送功能的设计,如下

UART发送功能设计实现

接收模块Uart_Rx设计实现:

首先对RX信号多级缓存消除亚稳态,同时检测下降沿,程序实现如下:

input				uart_rx;	//UART接收输入 
reg	uart_rx0,uart_rx1,uart_rx2;	//多级延时锁存去除亚稳态
always @ (posedge clk) begin
	uart_rx0 <= uart_rx;
	uart_rx1 <= uart_rx0;
	uart_rx2 <= uart_rx1;
	end //检测UART接收输入信号的下降沿
	wire	neg_uart_rx = uart_rx2 & ~uart_rx1;

当检测RX有下降沿后,使能节拍使能信号,同时自锁直到完成接收操作后再复位节拍使能信号。程序实现如下:

reg				[3:0]	num;			//接收时钟使能信号的控制
always @ (posedge clk or negedge rst_n) begin
	if(!rst_n)
		bps_en <= 1'b0;
	else if(neg_uart_rx && (!bps_en)) 
//当空闲状态(bps_en为低电平)时检测到UART接收信号下降沿,进入工作状态(bps_en为高电平),控制时钟模块产生接收时钟
		bps_en <= 1'b1;		
	else if(num==4'd9)		            //当完成一次UART接收操作后,退出工作状态,恢复空闲状态
		bps_en <= 1'b0;			
		end

根据节拍信号完成UART总线的数据采样,得到8位有效数据,程序实现如下:

reg				[7:0]	rx_data;//当处于工作状态中时,按照接收时钟的节拍获取数据
always @ (posedge clk or negedge rst_n) begin
	if(!rst_n) begin
		num <= 4'd0;
		rx_data <= 8'd0;
	end else if(bps_en) begin	
		if(bps_clk) begin			
			num <= num + 1'b1;
			if(num<=4'd8) rx_data[num-1] <= uart_rx1; //先接受低位再接收高位,8位有效数据
		end else if(num == 4'd9) begin		          //完成一次UART接收操作后,将获取的数据输出
			num <= 4'd0;				
		end
	end else begin
		num <= 4'd0;
	end
	end

当UART接收操作完成后,将得到的8位有效数据输出给后级电路,程序实现如下:

//将接收的数据输出,同时控制输出有效信号产生脉冲
always @ (posedge clk or negedge rst_n) begin
	if(!rst_n) begin
		rx_data_out <= 8'd0;
		rx_data_valid <= 1'b0;
	end else if(num == 4'd9) begin	
		rx_data_out <= rx_data;
		rx_data_valid <= 1'b1;
	end else begin
		rx_data_out <= rx_data_out;
		rx_data_valid <= 1'b0;
	end
	end

最后将节拍模块Baud和接收模块Uart_rx实例化并连接,完成发送功能的设计,如下

UART发送功能设计实现

整个UART驱动设计是由两个独立的功能组合而成:发送功能部分和接收功能部分。UART功能总体设计框图如下:

RTL设计框图

当我们需要UART发送数据的时候只需要实例化发送功能部分设计,需要UART接收数据的时候只需要实例化接收功能部分设计,例如本设计中FPGA驱动UART模块接收电脑串口调试助手发出的数据,所以我们就只需要实例化接收功能部分设计即可。

系统总体实现

刚刚学习了UART通信模块,本设计只需要使用接收功能部分设计,每一次通信都会得到一个8位数据,怎样将8位数据对应得数据显示在数码管上呢?我来先来了解一下UART接受到的8位数据与要显示数字的关系

串口调试助手界面

上图为电脑端友善串口调试助手的界面,当我们将硬件连接,在串口设置串口选定串口对应的端口,并按上图配置波特率、数据位、校验位、停止位、流控等,点击开始建立连接,接下来我们就可以在串口发送窗口输入要发送的数据,点击发送后数据传输出去。在发送设置有两个选项:ASCII和Hex ,

  • 当选择ASCII的时候,通过UART发出的数据是数据窗口中字符的ASCII码值,每个字符的ASCII码值都是8位数据,所以窗口中字符数量与UART传输的次数是相等的,同时数字的值与ASCII码值相差48,例如数字0的ASCII码值为48。
  • 当选择Hex的时候,通过UART发出的数据(必须是16进制数据)就是数据窗口中的数据本身,这样每次UART传输都会发送两个数字,如果只发送一个数字,则高位补零组成8位数据,例如发送数字1,实际UART传输的数据为8‘h01。

我们设计一个32位的移位寄存器对应8位数码管,按照BCD码格式每4位表示一个数字,每次接收到UART数据都存到移位寄存器中,同时控制数码管显示相应的数码管位,Decoder程序实现如下:

`ifdef HEX_FORMAT //如果用define定义过HEX_FORMAT
	//采用16进制格式,接收到的数据等于数值本身
	wire [7:0] seg_data_r = rx_data_out; 	//移位寄存器,对应8位数码管数据BCD码
	always @ (posedge rx_data_valid or negedge rst_n) begin
		if(!rst_n) seg_data <= 1'b0;
		else seg_data <= {seg_data[23:0],seg_data_r};
	end 	//移位寄存器,对应8位数码管数据显示使能
	always @ (posedge rx_data_valid or negedge rst_n) begin
		if(!rst_n) data_en <= 1'b0;
		else data_en <= {data_en[5:0],2'b11};
	end`else
	//采用字符格式,接收到的数据为字符ASCII码值,与数字值相差48
	wire [7:0] seg_data_r = rx_data_out - 8'd48; 	//移位寄存器,对应8位数码管数据BCD码
	always @ (posedge rx_data_valid or negedge rst_n) begin
		if(!rst_n) seg_data <= 1'b0;
		else seg_data <= {seg_data[27:0],seg_data_r[3:0]};
	end 	//移位寄存器,对应8位数码管数据显示使能
	always @ (posedge rx_data_valid or negedge rst_n) begin
		if(!rst_n) data_en <= 1'b0;
		else data_en <= {data_en[6:0],1'b1};
	end
	`endif

上面程序中ifdef……else……endif语句为预编译指令,与C预演类似。如果我们使用串口助手Hex(16进制)格式发送数据,需要在程序中使用define定义参数HEX_FORMAT,如果使用ASCII格式发送数据,则不需要定义。 <code verilog> define HEXFORMAT 串口助手使用Hex格式发送时定义HEX_FORMAT,否则不定义 </code> 综合后的设计框图如下: RTL设计框图 

#### 实验步骤 - 双击打开Quartus Prime工具软件; - 新建工程:File → New Project Wizard(工程命名,工程目录选择,设备型号选择,EDA工具选择); - 新建文件:File → New → Verilog HDL File,键入设计代码并保存; - 设计综合:双击Tasks窗口页面下的Analysis & Synthesis对代码进行综合; - 管脚约束:Assignments → Assignment Editor,根据项目需求分配管脚; - 设计编译:双击Tasks窗口页面下的Compile Design对设计进行整体编译并生成配置文件; - 程序烧录:点击Tools → Programmer打开配置工具,Program进行下载; - 观察设计运行结果。 #### 实验现象 使用两根Micro-USB线同时连接核心板和底板的USB接口,将程序下载到FPGA中,数码管处于不显示的状态,打开电脑上的串口调试助手,按照前面图片配置相应参数,在数据发送窗口输入数字,点击发送观察底板数码管的变化,重新输入数字,点击发送再次观察底板数码管的变化。

]]>
//www.cazqn.com/article/202312/453843.htm Wed, 13 Dec 2023 09:20:47 +0800
<![CDATA[ 晶圆代工市场分析:三星与台积电的差距进一步扩大 ]]> 芯片需求的上涨对晶圆代工厂商的作用是显而易见的,特别是对于依赖先进制程的高性能芯片,7nm、5nm等制程的加持不仅让性能有了飞跃的提升,在功耗的控制上也有更多的余地。对于大部分依赖先进制程的芯片公司而言,从晶圆代工厂商中抢订单已经成为了头等大事。

当然,对于晶圆厂商来说,决定先进制程量产的光刻机也有着同样的地位。特别是在进入7nm、5nm之后,EUV光刻机的数量将直接决定能否持续取得市场领先地位。

作为目前晶圆代工领域的两大龙头,台积电和三星之间围绕光刻机的竞争已经趋于白热化。今年第三季度,晶圆代工龙头台积电与紧随其后的三星之间的市场份额差距再次拉大。

· 根据市场研究公司TrendForce的最新数据,三星今年第三季度的晶圆代工业务营收为36.9亿美元,环比增长14.1%。三星在全球晶圆代工营收中的比重,由此前一个季度的11.7%,增至12.4%,增加了0.7个百分点。据悉,三星的增长部分得益于高通公司中低端第五代AP系统芯片(SoC)、5G调制解调器和28nmOLED显示驱动IC(DDI)的订单。

· 而台积电在经历了第二季度的短暂放缓后,在第三季度强劲反弹。台积电第三季度营收达到172.49亿美元,环比增长10.2%。台积电在三季度全球晶圆代工营收中所占的比重为57.9%,高于二季度的56.4%。据悉,台积电销售额的增长主要得益于智能手机和个人电脑半导体(包括iPhone 15)订单的增加。仅先进的3nm产品就占其销售额的6%,而采用7nm或更先进工艺的产品约占其总销售额的60%。

份额增加更多的台积电,也就拉大了对三星电子的市场份额领先优势,由二季度的44.7%,扩大到了45.5%。值得注意的是,虽然在三季度三星晶圆代工业务与台积电的市场份额差距有扩大,但较今年一季度还是有明显缩小,一季度两家公司之间的份额差距是50.1%。

640-3.jpeg

其他方面,总部位于美国的GlobalFoundries以18.5亿美元的销售额保持第三名的位置,与上一季度相差无几。与第二季度相比,联电(6.0%)、中芯国际(5.4%)和华虹集团(2.6%)的市场份额有所下降。

可以看到,晶圆代工行业已经呈现出一超多强的竞争格局。那么在晶圆代工行业脱颖而出的台积电和三星,优势与劣势分别是什么?

台积电

优势

台积电在晶圆代工领域的优势主要有三点,即制程工艺先进、良率高和产能庞大。

目前5nm是台积电最大营收的制程工艺,这一制程工艺在量产初期的主要客户是苹果,随后又有更多的客户转入,包括AMD、高通、联发科、英伟达、赛灵思等,众多大客户的争抢导致台积电5nm连连爆单。而ChatGPT的爆火,带动AI芯片和服务器处理器芯片、HPC领域客户投片量增加,5nm需求再次直线拉升。

台积电始终在先进制程上获得客户的青睐,并且在市场占有率上持续领先,产品良率是其中的关键。比如在10nm和7nm制程刚刚量产的时候,高通和英伟达就分别把骁龙855/865和7nm制程GPU芯片转移到了台积电,随后在4nm后期高通又将骁龙8 Gen1 Plus的生产订单转给了台积电。

劣势

随着地缘政治摩擦,台积电难以再单纯遵循经济规律。在2022年底,应美国邀约台积电赴美建厂,据悉在亚利桑那设立晶圆厂的成本预计比在台湾本地高出至少 50%。

其次,三星在4nm和3nm芯片制程方面取得的良率突破,给台积电带来了不小的竞争压力;中国大陆地区半导体产业的急速发展也对台积电的市场份额造成挤压,在成熟工艺市场,例如28nm制程,台积电的技术以及产能优势正被缩小。

三星

优势

出货量大且价格相对便宜是三星的最大亮点。其实早在7nm阶段,三星就曾靠低于台积电的报价争取到英伟达。除了更低的价格,另一个驱使众芯片设计厂商选择三星的原因是台积电的先进制程产能也是有限的,承接苹果这种大客户之后,也难以保障众厂商的所有订单需求,自然会有订单流向可以稳定供货的三星。

劣势

众所周知,三星最大的劣势就是良率问题。据悉,三星自5nm制程开始一直存在良率问题,在4nm和3nm工艺上情况变得更加糟糕。而三星代工的骁龙888、骁龙8 Gen1功耗过高也是一个大问题,最终使得三星4nm的最大客户高通在后续骁龙旗舰处理器的订单都交给了台积电代工。

不过,近期三星也传来了积极进展。报道称,三星今年4nm工艺成品率超过75%、3nm工艺成品率超过60%。在台积电方面无法完全消化3nm工艺订单的情况下,三星良率的提升无疑增加了为高通、英伟达等公司芯片代工的机率。

在尖端晶圆制造领域有三大强者:第一家是台积电,第二家是三星,不能忘了还有英特尔。

英特尔是为数不多的既设计又制造自己芯片的半导体公司之一,而高通和苹果等竞争对手的芯片制造只能依赖于代工厂商。2021年初,英特尔重振其芯片代工制造业务,并将其更名为“英特尔代工服务”(Intel Foundry Services,IFS),目标是与台积电和三星竞争。

英伟达首席财务官柯蕾丝(Colette Kress)在参加瑞银全球科技大会(UBS Global Technology Conference)接受媒体采访时表示,英伟达不排除下单英特尔代工生产新一代芯片,这意味将会打破当下台积电独家代工英伟达AI芯片的情况。

此前,英伟达首席执行官黄仁勋也曾表示,对与英特尔合作抱持开放态度,过去已评估英特尔的工艺,并已收到其下一代制程测试芯片的报告,结果“看起来不错”。

英伟达希望拥有丰富的代工合作伙伴生态系统,并对拥有第三个合作伙伴(指英特尔)持开放态度。该公司称:“台积电是一个很好的合作伙伴,三星至今仍在使用,我们希望有第三个合作伙伴。”

目前,英伟达AI/HPC芯片代工订单均由台积电拿下,GPU部分产品则交由三星代工。根据研究机构数据显示,英伟达是台积电先进制程与先进封装重要合作伙伴,预计英伟达对台积电2022年营收贡献比重约6%。如果一旦英特尔介入抢单,不仅影响台积电高阶制程接单,也可能因产业竞争而掀起价格战。

]]>
芯片需求的上涨对晶圆代工厂商的作用是显而易见的,特别是对于依赖先进制程的高性能芯片,7nm、5nm等制程的加持不仅让性能有了飞跃的提升,在功耗的控制上也有更多的余地。对于大部分依赖先进制程的芯片公司而言,从晶圆代工厂商中抢订单已经成为了头等大事。

当然,对于晶圆厂商来说,决定先进制程量产的光刻机也有着同样的地位。特别是在进入7nm、5nm之后,EUV光刻机的数量将直接决定能否持续取得市场领先地位。

作为目前晶圆代工领域的两大龙头,台积电和三星之间围绕光刻机的竞争已经趋于白热化。今年第三季度,晶圆代工龙头台积电与紧随其后的三星之间的市场份额差距再次拉大。

· 根据市场研究公司TrendForce的最新数据,三星今年第三季度的晶圆代工业务营收为36.9亿美元,环比增长14.1%。三星在全球晶圆代工营收中的比重,由此前一个季度的11.7%,增至12.4%,增加了0.7个百分点。据悉,三星的增长部分得益于高通公司中低端第五代AP系统芯片(SoC)、5G调制解调器和28nmOLED显示驱动IC(DDI)的订单。

· 而台积电在经历了第二季度的短暂放缓后,在第三季度强劲反弹。台积电第三季度营收达到172.49亿美元,环比增长10.2%。台积电在三季度全球晶圆代工营收中所占的比重为57.9%,高于二季度的56.4%。据悉,台积电销售额的增长主要得益于智能手机和个人电脑半导体(包括iPhone 15)订单的增加。仅先进的3nm产品就占其销售额的6%,而采用7nm或更先进工艺的产品约占其总销售额的60%。

份额增加更多的台积电,也就拉大了对三星电子的市场份额领先优势,由二季度的44.7%,扩大到了45.5%。值得注意的是,虽然在三季度三星晶圆代工业务与台积电的市场份额差距有扩大,但较今年一季度还是有明显缩小,一季度两家公司之间的份额差距是50.1%。

640-3.jpeg

其他方面,总部位于美国的GlobalFoundries以18.5亿美元的销售额保持第三名的位置,与上一季度相差无几。与第二季度相比,联电(6.0%)、中芯国际(5.4%)和华虹集团(2.6%)的市场份额有所下降。

可以看到,晶圆代工行业已经呈现出一超多强的竞争格局。那么在晶圆代工行业脱颖而出的台积电和三星,优势与劣势分别是什么?

台积电

优势

台积电在晶圆代工领域的优势主要有三点,即制程工艺先进、良率高和产能庞大。

目前5nm是台积电最大营收的制程工艺,这一制程工艺在量产初期的主要客户是苹果,随后又有更多的客户转入,包括AMD、高通、联发科、英伟达、赛灵思等,众多大客户的争抢导致台积电5nm连连爆单。而ChatGPT的爆火,带动AI芯片和服务器处理器芯片、HPC领域客户投片量增加,5nm需求再次直线拉升。

台积电始终在先进制程上获得客户的青睐,并且在市场占有率上持续领先,产品良率是其中的关键。比如在10nm和7nm制程刚刚量产的时候,高通和英伟达就分别把骁龙855/865和7nm制程GPU芯片转移到了台积电,随后在4nm后期高通又将骁龙8 Gen1 Plus的生产订单转给了台积电。

劣势

随着地缘政治摩擦,台积电难以再单纯遵循经济规律。在2022年底,应美国邀约台积电赴美建厂,据悉在亚利桑那设立晶圆厂的成本预计比在台湾本地高出至少 50%。

其次,三星在4nm和3nm芯片制程方面取得的良率突破,给台积电带来了不小的竞争压力;中国大陆地区半导体产业的急速发展也对台积电的市场份额造成挤压,在成熟工艺市场,例如28nm制程,台积电的技术以及产能优势正被缩小。

三星

优势

出货量大且价格相对便宜是三星的最大亮点。其实早在7nm阶段,三星就曾靠低于台积电的报价争取到英伟达。除了更低的价格,另一个驱使众芯片设计厂商选择三星的原因是台积电的先进制程产能也是有限的,承接苹果这种大客户之后,也难以保障众厂商的所有订单需求,自然会有订单流向可以稳定供货的三星。

劣势

众所周知,三星最大的劣势就是良率问题。据悉,三星自5nm制程开始一直存在良率问题,在4nm和3nm工艺上情况变得更加糟糕。而三星代工的骁龙888、骁龙8 Gen1功耗过高也是一个大问题,最终使得三星4nm的最大客户高通在后续骁龙旗舰处理器的订单都交给了台积电代工。

不过,近期三星也传来了积极进展。报道称,三星今年4nm工艺成品率超过75%、3nm工艺成品率超过60%。在台积电方面无法完全消化3nm工艺订单的情况下,三星良率的提升无疑增加了为高通、英伟达等公司芯片代工的机率。

在尖端晶圆制造领域有三大强者:第一家是台积电,第二家是三星,不能忘了还有英特尔。

英特尔是为数不多的既设计又制造自己芯片的半导体公司之一,而高通和苹果等竞争对手的芯片制造只能依赖于代工厂商。2021年初,英特尔重振其芯片代工制造业务,并将其更名为“英特尔代工服务”(Intel Foundry Services,IFS),目标是与台积电和三星竞争。

英伟达首席财务官柯蕾丝(Colette Kress)在参加瑞银全球科技大会(UBS Global Technology Conference)接受媒体采访时表示,英伟达不排除下单英特尔代工生产新一代芯片,这意味将会打破当下台积电独家代工英伟达AI芯片的情况。

此前,英伟达首席执行官黄仁勋也曾表示,对与英特尔合作抱持开放态度,过去已评估英特尔的工艺,并已收到其下一代制程测试芯片的报告,结果“看起来不错”。

英伟达希望拥有丰富的代工合作伙伴生态系统,并对拥有第三个合作伙伴(指英特尔)持开放态度。该公司称:“台积电是一个很好的合作伙伴,三星至今仍在使用,我们希望有第三个合作伙伴。”

目前,英伟达AI/HPC芯片代工订单均由台积电拿下,GPU部分产品则交由三星代工。根据研究机构数据显示,英伟达是台积电先进制程与先进封装重要合作伙伴,预计英伟达对台积电2022年营收贡献比重约6%。如果一旦英特尔介入抢单,不仅影响台积电高阶制程接单,也可能因产业竞争而掀起价格战。

]]>
//www.cazqn.com/article/202312/453842.htm Tue, 12 Dec 2023 21:31:43 +0800
<![CDATA[ 苹果计划在印度每年生产超过五千万部iPhone 占全球产量的1/4 ]]> 据《华尔街日报》报道,苹果及其供应商计划在未来2到3年内,每年在印度生产超过5000万部iPhone,并在此之后计划再生产数千万部。这一目标若实现,印度将占据全球iPhone产量的四分之一。

印度商务部长曾在2023年初表示,苹果计划在该国生产25%的手机,但从未附上具体的时间框架。两到三年的计划将是一个相当戏剧性的扩张,考虑迄今为止,苹果只在印度生产了少部分iPhone,而且之前的生产比中国慢了多达九个月。

但是情况在iPhone 14时有所改变,该型号与中国同时开始生产,而在印度制造的iPhone 15在上市时就已经上架。

640.jpeg

印度的基础设施建设和供应链体系尚待完善,这给苹果的生产和发展带来了一定的挑战。不过,苹果并未因此而放弃在印度的扩张计划。相反,他们正在积极寻求合作伙伴。

富士康是苹果在印度的主要供应商之一,目前正在印度南部卡纳塔克邦在建的工厂预计将于2024年4月开始生产,该工厂的目标是未来两到三年内每年生产2000万部iPhone和其他设备。此外,富士康还计划在当地建设第二家规模类似的工厂。

此外,据彭博社消息,印度企业塔塔集团计划在印度南部建设该国最大的iPhone组装厂之一。该工厂预期将拥有50000名工人和20条装配线,将在未来12-18个月内投入运营。

苹果还鼓励包括中国德赛在内的电池制造商在印度建新厂。与此同时,日本电子零件制造商TDK公司将在印度哈里亚纳邦的马内萨尔建立一个占地180英亩的设施,为印度制造的iPhone生产锂离子电池单元。

苹果在印度的扩张是其供应链多元化战略的一部分,目前该公司的供应链由中国主导。近年来,随着苹果和其他企业寻求减少对中国的依赖,印度已成为高科技制造和组装的热点地区。

640-2.jpeg

郭明錤预测,到2024年,富士康在郑州的生产规模将减少约35-45%,在太原的生产规模预计将减少约75-85%,而另一家为苹果服务的制造公司立讯精密(Luxshare)也已将部分生产迁往印度。

据悉,苹果已投入大量资金在印度组装部分产品,以减少对中国的依赖。随着该公司逐渐摆脱对中国制造的依赖,印度很可能成为最大的iPhone制造国。

这一目标的背后,是苹果对全球供应链战略的深度调整。随着全球贸易紧张局势的加剧,苹果开始将更多的生产转移到印度和越南等新兴市场,以降低对中国的依赖。将部分iPad产能转移到越南也是这一战略调整的最新体现。

然而,将生产转移到印度并非易事。尽管印度有着丰富的劳动力和低廉的成本,但其基础设施和供应链体系仍需进一步完善。此外,印度的劳动力市场和知识产权保护等问题也需要得到更好的解决。因此,苹果需要在印度建立强大的合作伙伴关系,并加强与当地政府的沟通和合作,以确保生产顺利转移到印度。

对于苹果来说,将部分生产转移到印度具有深远的影响。首先,这将使苹果能够更好地利用印度丰富的劳动力和低廉的成本。其次,这将帮助苹果绕过复杂的国际贸易关系和关税问题,从而降低生产成本。最后,这将使苹果能够更好地适应不断变化的市场需求和消费者偏好。

]]>
据《华尔街日报》报道,苹果及其供应商计划在未来2到3年内,每年在印度生产超过5000万部iPhone,并在此之后计划再生产数千万部。这一目标若实现,印度将占据全球iPhone产量的四分之一。

印度商务部长曾在2023年初表示,苹果计划在该国生产25%的手机,但从未附上具体的时间框架。两到三年的计划将是一个相当戏剧性的扩张,考虑迄今为止,苹果只在印度生产了少部分iPhone,而且之前的生产比中国慢了多达九个月。

但是情况在iPhone 14时有所改变,该型号与中国同时开始生产,而在印度制造的iPhone 15在上市时就已经上架。

640.jpeg

印度的基础设施建设和供应链体系尚待完善,这给苹果的生产和发展带来了一定的挑战。不过,苹果并未因此而放弃在印度的扩张计划。相反,他们正在积极寻求合作伙伴。

富士康是苹果在印度的主要供应商之一,目前正在印度南部卡纳塔克邦在建的工厂预计将于2024年4月开始生产,该工厂的目标是未来两到三年内每年生产2000万部iPhone和其他设备。此外,富士康还计划在当地建设第二家规模类似的工厂。

此外,据彭博社消息,印度企业塔塔集团计划在印度南部建设该国最大的iPhone组装厂之一。该工厂预期将拥有50000名工人和20条装配线,将在未来12-18个月内投入运营。

苹果还鼓励包括中国德赛在内的电池制造商在印度建新厂。与此同时,日本电子零件制造商TDK公司将在印度哈里亚纳邦的马内萨尔建立一个占地180英亩的设施,为印度制造的iPhone生产锂离子电池单元。

苹果在印度的扩张是其供应链多元化战略的一部分,目前该公司的供应链由中国主导。近年来,随着苹果和其他企业寻求减少对中国的依赖,印度已成为高科技制造和组装的热点地区。

640-2.jpeg

郭明錤预测,到2024年,富士康在郑州的生产规模将减少约35-45%,在太原的生产规模预计将减少约75-85%,而另一家为苹果服务的制造公司立讯精密(Luxshare)也已将部分生产迁往印度。

据悉,苹果已投入大量资金在印度组装部分产品,以减少对中国的依赖。随着该公司逐渐摆脱对中国制造的依赖,印度很可能成为最大的iPhone制造国。

这一目标的背后,是苹果对全球供应链战略的深度调整。随着全球贸易紧张局势的加剧,苹果开始将更多的生产转移到印度和越南等新兴市场,以降低对中国的依赖。将部分iPad产能转移到越南也是这一战略调整的最新体现。

然而,将生产转移到印度并非易事。尽管印度有着丰富的劳动力和低廉的成本,但其基础设施和供应链体系仍需进一步完善。此外,印度的劳动力市场和知识产权保护等问题也需要得到更好的解决。因此,苹果需要在印度建立强大的合作伙伴关系,并加强与当地政府的沟通和合作,以确保生产顺利转移到印度。

对于苹果来说,将部分生产转移到印度具有深远的影响。首先,这将使苹果能够更好地利用印度丰富的劳动力和低廉的成本。其次,这将帮助苹果绕过复杂的国际贸易关系和关税问题,从而降低生产成本。最后,这将使苹果能够更好地适应不断变化的市场需求和消费者偏好。

]]>
//www.cazqn.com/article/202312/453841.htm Tue, 12 Dec 2023 21:24:11 +0800
<![CDATA[ 嵌入式软件开发常用的套路与技巧 ]]> 01. 调试相关的宏

在Linux使用gcc编译程序的时候,对于调试的语句还具有一些特殊的语法。

gcc编译的过程中,会生成一些宏,可以使用这些宏分别打印当前源文件的信息,主要内容是当前的文件、当前运行的函数和当前的程序行。

具体宏如下:

__FILE__  当前程序源文件 (char*)
__FUNCTION__  当前运行的函数 (char*)
__LINE__  当前的函数行 (int)

这些宏不是程序代码定义的,而是有编译器产生的。这些信息都是在编译器处理文件的时候动态产生的。

「测试示例:」

#include 
int main(void){
    printf("file: %sn", __FILE__);
    printf("function: %sn", __FUNCTION__);
    printf("line: %dn", __LINE__);
    return 0;
}

02. # 字符串化操作符

在gcc的编译系统中,可以使用#将当前的内容转换成字符串。

「程序示例:」

#include 
#define DPRINT(expr) printf("
%s = %dn", #expr, expr);
int main(void){
    int x = 3;
    int y = 5;
    DPRINT(x / y);
    DPRINT(x + y);
    DPRINT(x * y);
    
    return 0;
}

「执行结果:」

deng@itcast:~/tmp$ gcc test.c 
deng@itcast:~/tmp$ ./a.out  
x / y = 0x + y = 8x * y = 15

#expr表示根据宏中的参数(即表达式的内容),生成一个字符串。该过程同样是有编译器产生的,编译器在编译源文件的时候,如果遇到了类似的宏,会自动根据程序中表达式的内容,生成一个字符串的宏。

这种方式的优点是可以用统一的方法打印表达式的内容,在程序的调试过程中可以方便直观的看到转换字符串之后的表达式。

具体的表达式的内容是什么,有编译器自动写入程序中,这样使用相同的宏打印所有表达式的字符串。

//打印字符
#define debugc(expr) printf(" %s = %cn", #expr, expr)
//打印浮点数
#define debugf(expr) printf(" %s = %fn", #expr, expr)
//按照16进制打印整数
#define debugx(expr) printf(" %s = 0X%xn", #expr, expr);

由于#expr本质上市一个表示字符串的宏,因此在程序中也可以不适用%s打印它的内容,而是可以将其直接与其它的字符串连接。

因此,上述宏可以等价以下形式:

//打印字符
#define debugc(expr) printf(" #expr = %cn", expr)
//打印浮点数
#define debugf(expr) printf(" #expr = %fn", expr)
//按照16进制打印整数
#define debugx(expr) printf(" #expr = 0X%xn", expr);

「总结:」

#是C语言预处理阶段的字符串化操作符,可将宏中的内容转换成字符串。

03. ## 连接操作符

在gcc的编译系统中,##是C语言中的连接操作符,可以在编译的预处理阶段实现字符串连接的操作。

「程序示例:」

#include 
#define test(x) test##x
void test1(int a){
    printf("test1 a = %dn", a);
}
void test2(char *s){
    printf("test2 s = %sn", s);
}
int main(void){
    test(1)(100);
    test(2)("hello world");
    
    return 0;
}

上述程序中,test(x)宏被定义为test##x, 他表示test字符串和x字符串的连接。

在程序的调试语句中,##常用的方式如下

#define DEBUG(fmt, args...) printf(fmt, ##args)

替换的方式是将参数的两个部分以##连接。##表示连接变量代表前面的参数列表。使用这种形式可以将宏的参数传递给一个参数。args…是宏的参数,表示可变的参数列表,使用##args将其传给printf函数.

「总结:」

##是C语言预处理阶段的连接操作符,可实现宏参数的连接。

04. 调试宏第一种形式

一种定义的方式:

#define DEBUG(fmt, args...)             
    {                                   
    printf("file:%s function: %s line: %d ", __FILE__, __FUNCTION__, __LINE__);
    printf(fmt, ##args);                
    }

「程序示例:」

#include 
#define DEBUG(fmt, args...)             
    {                                   
    printf("file:%s function: %s line: %d ", __FILE__, __FUNCTION__, __LINE__);
    printf(fmt, ##args);                
    }

int main(void){
    int a = 100;
    int b = 200;
    char *s = "hello world";
    DEBUG("a = %d b = %dn", a, b);
    DEBUG("a = %x b = %xn", a, b);
    DEBUG("s = %sn", s);
    
    return 0;
}

「总结:」

上面的DEBUG定义的方式是两条语句的组合,不可能在产生返回值,因此不能使用它的返回值。

05. 调试宏的第二种定义方式

调试宏的第二种定义方式

#define DEBUG(fmt, args...)             
    printf("file:%s function: %s line: %d "fmt, 
    __FILE__, __FUNCTION__, __LINE__, ##args)

程序示例

#include 
#define DEBUG(fmt, args...)             
    printf("file:%s function: %s line: %d "fmt, 
    __FILE__, __FUNCTION__, __LINE__, ##args)

int main(void){
    int a = 100;
    int b = 200;
    char *s = "hello world";
    DEBUG("a = %d b = %dn", a, b);
    DEBUG("a = %x b = %xn", a, b);
    DEBUG("s = %sn", s);
    
    return 0;
}

「总结:」

fmt必须是一个字符串,不能使用指针,只有这样才可以实现字符串的功能。

06. 对调试语句进行分级审查

即使定义了调试的宏,在工程足够大的情况下,也会导致在打开宏开关的时候在终端出现大量的信息。而无法区分哪些是有用的。

这个时候就要加入分级检查机制,可以定义不同的调试级别,这样就可以对不同重要程序和不同的模块进行区分,需要调试哪一个模块就可以打开那一个模块的调试级别。

一般可以利用配置文件的方式显示,其实Linux内核也是这么做的,它把调试的等级分成了7个不同重要程度的级别,只有设定某个级别可以显示,对应的调试信息才会打印到终端上。

可以写出一下配置文件

[debug]
debug_level=XXX_MODULE

解析配置文件使用标准的字符串操作库函数就可以获取XXX_MODULE这个数值。

int show_debug(int level){
    if (level == XXX_MODULE)
    {
        #define DEBUG(fmt, args...)             
        printf("file:%s function: %s line: %d "fmt, 
        __FILE__, __FUNCTION__, __LINE__, ##args)       

    }
    else if (...)
    {
        ....
    }
}

07. 条件编译调试语句

在实际的开发中,一般会维护两种源程序,一种是带有调试语句的调试版本程序,另外一种是不带有调试语句的发布版本程序。

然后根据不同的条件编译选项,编译出不同的调试版本和发布版本的程序。

在实现过程中,可以使用一个调试宏来控制调试语句的开关。

#ifdef USE_DEBUG
        #define DEBUG(fmt, args...)             
        printf("file:%s function: %s line: %d "fmt, 
        __FILE__, __FUNCTION__, __LINE__, ##args)  

#else
  #define DEBUG(fmt, args...)
#endif

如果USE_DEBUG被定义,那么有调试信息,否则DEBUG就为空。

如果需要调试信息,就只需要在程序中更改一行就可以了。

#define USE_DEBUG
#undef USE_DEBUG

定义条件编译的方式使用一个带有值的宏

#if USE_DEBUG
        #define DEBUG(fmt, args...)             
        printf("file:%s function: %s line: %d "fmt, 
        __FILE__, __FUNCTION__, __LINE__, ##args)  

#else
  #define DEBUG(fmt, args...)
#endif

可以使用如下方式进行条件编译

#ifndef USE_DEBUG
#define USE_DEBUG 0
#endif

08. 使用do…while的宏定义

使用宏定义可以将一些较为短小的功能封装,方便使用。宏的形式和函数类似,但是可以节省函数跳转的开销。

如何将一个语句封装成一个宏,在程序中常常使用do…while(0)的形式。

#define HELLO(str) do { 
printf("hello: %sn", str); 
}while(0)

「程序示例:」

int cond = 1;
if (cond)
    HELLO("true");
else
    HELLO("false");

09. 代码剖析

对于比较大的程序,可以借助一些工具来首先把需要优化的点清理出来。接下来我们来看看在程序执行过程中获取数据并进行分析的工具:代码剖析程序。

「测试程序:」

#include 
#define T 100000
void call_one(){
    int count = T * 1000;
    while(count--);
}
void call_two(){
    int count = T * 50;
    while(count--);
}
void call_three(){
    int count = T * 20;
    while(count--);
}
int main(void){
    int time = 10;
    while(time--)
    {
        call_one();
        call_two();
        call_three();
    }
    
    return 0;
}

编译的时候加入-pg选项:

deng@itcast:~/tmp$ gcc -pg  test.c -o test

执行完成后,在当前文件中生成了一个gmon.out文件。

deng@itcast:~/tmp$ ./test  
deng@itcast:~/tmp$ ls
gmon.out  test  test.c
deng@itcast:~/tmp$ 

「使用gprof剖析主程序:」

deng@itcast:~/tmp$ gprof test
Flat profile:
Each sample counts as 0.01 seconds.
  %   cumulative   self              self     total           
 time   seconds   seconds    calls  ms/call  ms/call  name    
 95.64      1.61     1.61       10   160.68   160.68  call_one
  3.63      1.67     0.06       10     6.10     6.10  call_two
  2.42      1.71     0.04       10     4.07     4.07  call_three

其中主要的信息有两个,一个是每个函数执行的时间占程序总时间的百分比,另外一个就是函数被调用的次数。通过这些信息,可以优化核心程序的实现方式来提高效率。

当然这个剖析程序由于它自身特性有一些限制,比较适用于运行时间比较长的程序,因为统计的时间是基于间隔计数这种机制,所以还需要考虑函数执行的相对时间,如果程序执行时间过短,那得到的信息是没有任何参考意义的。

「将上诉程序时间缩短:」

#include 
#define T 100
void call_one(){
    int count = T * 1000;
    while(count--);
}
void call_two(){
    int count = T * 50;
    while(count--);
}
void call_three(){
    int count = T * 20;
    while(count--);
}
int main(void){
    int time = 10;
    while(time--)
    {
        call_one();
        call_two();
        call_three();
    }
    
    return 0;
}

「剖析结果如下:」

deng@itcast:~/tmp$ gcc -pg test.c -o test
deng@itcast:~/tmp$ ./test  
deng@itcast:~/tmp$ gprof test
Flat profile:
Each sample counts as 0.01 seconds.
 no time accumulated
  %   cumulative   self              self     total           
 time   seconds   seconds    calls  Ts/call  Ts/call  name    
  0.00      0.00     0.00       10     0.00     0.00  call_one
  0.00      0.00     0.00       10     0.00     0.00  call_three
  0.00      0.00     0.00       10     0.00     0.00  call_two

因此该剖析程序对于越复杂、执行时间越长的函数也适用。

那么是不是每个函数执行的绝对时间越长,剖析显示的时间就真的越长呢?可以再看如下的例子

#include 
#define T 100
void call_one(){
    int count = T * 1000;
    while(count--);
}
void call_two(){
    int count = T * 100000;
    while(count--);
}
void call_three(){
    int count = T * 20;
    while(count--);
}
int main(void){
    int time = 10;
    while(time--)
    {
        call_one();
        call_two();
        call_three();
    }
    
    return 0;
}

「剖析结果如下:」

deng@itcast:~/tmp$ gcc -pg test.c -o test
deng@itcast:~/tmp$ ./test  
deng@itcast:~/tmp$ gprof test
Flat profile:
Each sample counts as 0.01 seconds.
  %   cumulative   self              self     total           
 time   seconds   seconds    calls  ms/call  ms/call  name    
101.69      0.15     0.15       10    15.25    15.25  call_two
  0.00      0.15     0.00       10     0.00     0.00  call_one
  0.00      0.15     0.00       10     0.00     0.00  call_three

「总结:」

在使用gprof工具的时候,对于一个函数进行gprof方式的剖析,实质上的时间是指除去库函数调用和系统调用之外,纯碎应用部分开发的实际代码运行的时间,也就是说time一项描述的时间值不包括库函数printf、系统调用system等运行的时间。

这些实用库函数的程序虽然运行的时候将比最初的程序实用更多的时间,但是对于剖析函数来说并没有影响。

]]>
01. 调试相关的宏

在Linux使用gcc编译程序的时候,对于调试的语句还具有一些特殊的语法。

gcc编译的过程中,会生成一些宏,可以使用这些宏分别打印当前源文件的信息,主要内容是当前的文件、当前运行的函数和当前的程序行。

具体宏如下:

__FILE__  当前程序源文件 (char*)
__FUNCTION__  当前运行的函数 (char*)
__LINE__  当前的函数行 (int)

这些宏不是程序代码定义的,而是有编译器产生的。这些信息都是在编译器处理文件的时候动态产生的。

「测试示例:」

#include 
int main(void){
    printf("file: %sn", __FILE__);
    printf("function: %sn", __FUNCTION__);
    printf("line: %dn", __LINE__);
    return 0;
}

02. # 字符串化操作符

在gcc的编译系统中,可以使用#将当前的内容转换成字符串。

「程序示例:」

#include 
#define DPRINT(expr) printf("
%s = %dn", #expr, expr);
int main(void){
    int x = 3;
    int y = 5;
    DPRINT(x / y);
    DPRINT(x + y);
    DPRINT(x * y);
    
    return 0;
}

「执行结果:」

deng@itcast:~/tmp$ gcc test.c 
deng@itcast:~/tmp$ ./a.out  
x / y = 0x + y = 8x * y = 15

#expr表示根据宏中的参数(即表达式的内容),生成一个字符串。该过程同样是有编译器产生的,编译器在编译源文件的时候,如果遇到了类似的宏,会自动根据程序中表达式的内容,生成一个字符串的宏。

这种方式的优点是可以用统一的方法打印表达式的内容,在程序的调试过程中可以方便直观的看到转换字符串之后的表达式。

具体的表达式的内容是什么,有编译器自动写入程序中,这样使用相同的宏打印所有表达式的字符串。

//打印字符
#define debugc(expr) printf(" %s = %cn", #expr, expr)
//打印浮点数
#define debugf(expr) printf(" %s = %fn", #expr, expr)
//按照16进制打印整数
#define debugx(expr) printf(" %s = 0X%xn", #expr, expr);

由于#expr本质上市一个表示字符串的宏,因此在程序中也可以不适用%s打印它的内容,而是可以将其直接与其它的字符串连接。

因此,上述宏可以等价以下形式:

//打印字符
#define debugc(expr) printf(" #expr = %cn", expr)
//打印浮点数
#define debugf(expr) printf(" #expr = %fn", expr)
//按照16进制打印整数
#define debugx(expr) printf(" #expr = 0X%xn", expr);

「总结:」

#是C语言预处理阶段的字符串化操作符,可将宏中的内容转换成字符串。

03. ## 连接操作符

在gcc的编译系统中,##是C语言中的连接操作符,可以在编译的预处理阶段实现字符串连接的操作。

「程序示例:」

#include 
#define test(x) test##x
void test1(int a){
    printf("test1 a = %dn", a);
}
void test2(char *s){
    printf("test2 s = %sn", s);
}
int main(void){
    test(1)(100);
    test(2)("hello world");
    
    return 0;
}

上述程序中,test(x)宏被定义为test##x, 他表示test字符串和x字符串的连接。

在程序的调试语句中,##常用的方式如下

#define DEBUG(fmt, args...) printf(fmt, ##args)

替换的方式是将参数的两个部分以##连接。##表示连接变量代表前面的参数列表。使用这种形式可以将宏的参数传递给一个参数。args…是宏的参数,表示可变的参数列表,使用##args将其传给printf函数.

「总结:」

##是C语言预处理阶段的连接操作符,可实现宏参数的连接。

04. 调试宏第一种形式

一种定义的方式:

#define DEBUG(fmt, args...)             
    {                                   
    printf("file:%s function: %s line: %d ", __FILE__, __FUNCTION__, __LINE__);
    printf(fmt, ##args);                
    }

「程序示例:」

#include 
#define DEBUG(fmt, args...)             
    {                                   
    printf("file:%s function: %s line: %d ", __FILE__, __FUNCTION__, __LINE__);
    printf(fmt, ##args);                
    }

int main(void){
    int a = 100;
    int b = 200;
    char *s = "hello world";
    DEBUG("a = %d b = %dn", a, b);
    DEBUG("a = %x b = %xn", a, b);
    DEBUG("s = %sn", s);
    
    return 0;
}

「总结:」

上面的DEBUG定义的方式是两条语句的组合,不可能在产生返回值,因此不能使用它的返回值。

05. 调试宏的第二种定义方式

调试宏的第二种定义方式

#define DEBUG(fmt, args...)             
    printf("file:%s function: %s line: %d "fmt, 
    __FILE__, __FUNCTION__, __LINE__, ##args)

程序示例

#include 
#define DEBUG(fmt, args...)             
    printf("file:%s function: %s line: %d "fmt, 
    __FILE__, __FUNCTION__, __LINE__, ##args)

int main(void){
    int a = 100;
    int b = 200;
    char *s = "hello world";
    DEBUG("a = %d b = %dn", a, b);
    DEBUG("a = %x b = %xn", a, b);
    DEBUG("s = %sn", s);
    
    return 0;
}

「总结:」

fmt必须是一个字符串,不能使用指针,只有这样才可以实现字符串的功能。

06. 对调试语句进行分级审查

即使定义了调试的宏,在工程足够大的情况下,也会导致在打开宏开关的时候在终端出现大量的信息。而无法区分哪些是有用的。

这个时候就要加入分级检查机制,可以定义不同的调试级别,这样就可以对不同重要程序和不同的模块进行区分,需要调试哪一个模块就可以打开那一个模块的调试级别。

一般可以利用配置文件的方式显示,其实Linux内核也是这么做的,它把调试的等级分成了7个不同重要程度的级别,只有设定某个级别可以显示,对应的调试信息才会打印到终端上。

可以写出一下配置文件

[debug]
debug_level=XXX_MODULE

解析配置文件使用标准的字符串操作库函数就可以获取XXX_MODULE这个数值。

int show_debug(int level){
    if (level == XXX_MODULE)
    {
        #define DEBUG(fmt, args...)             
        printf("file:%s function: %s line: %d "fmt, 
        __FILE__, __FUNCTION__, __LINE__, ##args)       

    }
    else if (...)
    {
        ....
    }
}

07. 条件编译调试语句

在实际的开发中,一般会维护两种源程序,一种是带有调试语句的调试版本程序,另外一种是不带有调试语句的发布版本程序。

然后根据不同的条件编译选项,编译出不同的调试版本和发布版本的程序。

在实现过程中,可以使用一个调试宏来控制调试语句的开关。

#ifdef USE_DEBUG
        #define DEBUG(fmt, args...)             
        printf("file:%s function: %s line: %d "fmt, 
        __FILE__, __FUNCTION__, __LINE__, ##args)  

#else
  #define DEBUG(fmt, args...)
#endif

如果USE_DEBUG被定义,那么有调试信息,否则DEBUG就为空。

如果需要调试信息,就只需要在程序中更改一行就可以了。

#define USE_DEBUG
#undef USE_DEBUG

定义条件编译的方式使用一个带有值的宏

#if USE_DEBUG
        #define DEBUG(fmt, args...)             
        printf("file:%s function: %s line: %d "fmt, 
        __FILE__, __FUNCTION__, __LINE__, ##args)  

#else
  #define DEBUG(fmt, args...)
#endif

可以使用如下方式进行条件编译

#ifndef USE_DEBUG
#define USE_DEBUG 0
#endif

08. 使用do…while的宏定义

使用宏定义可以将一些较为短小的功能封装,方便使用。宏的形式和函数类似,但是可以节省函数跳转的开销。

如何将一个语句封装成一个宏,在程序中常常使用do…while(0)的形式。

#define HELLO(str) do { 
printf("hello: %sn", str); 
}while(0)

「程序示例:」

int cond = 1;
if (cond)
    HELLO("true");
else
    HELLO("false");

09. 代码剖析

对于比较大的程序,可以借助一些工具来首先把需要优化的点清理出来。接下来我们来看看在程序执行过程中获取数据并进行分析的工具:代码剖析程序。

「测试程序:」

#include 
#define T 100000
void call_one(){
    int count = T * 1000;
    while(count--);
}
void call_two(){
    int count = T * 50;
    while(count--);
}
void call_three(){
    int count = T * 20;
    while(count--);
}
int main(void){
    int time = 10;
    while(time--)
    {
        call_one();
        call_two();
        call_three();
    }
    
    return 0;
}

编译的时候加入-pg选项:

deng@itcast:~/tmp$ gcc -pg  test.c -o test

执行完成后,在当前文件中生成了一个gmon.out文件。

deng@itcast:~/tmp$ ./test  
deng@itcast:~/tmp$ ls
gmon.out  test  test.c
deng@itcast:~/tmp$ 

「使用gprof剖析主程序:」

deng@itcast:~/tmp$ gprof test
Flat profile:
Each sample counts as 0.01 seconds.
  %   cumulative   self              self     total           
 time   seconds   seconds    calls  ms/call  ms/call  name    
 95.64      1.61     1.61       10   160.68   160.68  call_one
  3.63      1.67     0.06       10     6.10     6.10  call_two
  2.42      1.71     0.04       10     4.07     4.07  call_three

其中主要的信息有两个,一个是每个函数执行的时间占程序总时间的百分比,另外一个就是函数被调用的次数。通过这些信息,可以优化核心程序的实现方式来提高效率。

当然这个剖析程序由于它自身特性有一些限制,比较适用于运行时间比较长的程序,因为统计的时间是基于间隔计数这种机制,所以还需要考虑函数执行的相对时间,如果程序执行时间过短,那得到的信息是没有任何参考意义的。

「将上诉程序时间缩短:」

#include 
#define T 100
void call_one(){
    int count = T * 1000;
    while(count--);
}
void call_two(){
    int count = T * 50;
    while(count--);
}
void call_three(){
    int count = T * 20;
    while(count--);
}
int main(void){
    int time = 10;
    while(time--)
    {
        call_one();
        call_two();
        call_three();
    }
    
    return 0;
}

「剖析结果如下:」

deng@itcast:~/tmp$ gcc -pg test.c -o test
deng@itcast:~/tmp$ ./test  
deng@itcast:~/tmp$ gprof test
Flat profile:
Each sample counts as 0.01 seconds.
 no time accumulated
  %   cumulative   self              self     total           
 time   seconds   seconds    calls  Ts/call  Ts/call  name    
  0.00      0.00     0.00       10     0.00     0.00  call_one
  0.00      0.00     0.00       10     0.00     0.00  call_three
  0.00      0.00     0.00       10     0.00     0.00  call_two

因此该剖析程序对于越复杂、执行时间越长的函数也适用。

那么是不是每个函数执行的绝对时间越长,剖析显示的时间就真的越长呢?可以再看如下的例子

#include 
#define T 100
void call_one(){
    int count = T * 1000;
    while(count--);
}
void call_two(){
    int count = T * 100000;
    while(count--);
}
void call_three(){
    int count = T * 20;
    while(count--);
}
int main(void){
    int time = 10;
    while(time--)
    {
        call_one();
        call_two();
        call_three();
    }
    
    return 0;
}

「剖析结果如下:」

deng@itcast:~/tmp$ gcc -pg test.c -o test
deng@itcast:~/tmp$ ./test  
deng@itcast:~/tmp$ gprof test
Flat profile:
Each sample counts as 0.01 seconds.
  %   cumulative   self              self     total           
 time   seconds   seconds    calls  ms/call  ms/call  name    
101.69      0.15     0.15       10    15.25    15.25  call_two
  0.00      0.15     0.00       10     0.00     0.00  call_one
  0.00      0.15     0.00       10     0.00     0.00  call_three

「总结:」

在使用gprof工具的时候,对于一个函数进行gprof方式的剖析,实质上的时间是指除去库函数调用和系统调用之外,纯碎应用部分开发的实际代码运行的时间,也就是说time一项描述的时间值不包括库函数printf、系统调用system等运行的时间。

这些实用库函数的程序虽然运行的时候将比最初的程序实用更多的时间,但是对于剖析函数来说并没有影响。

]]>
//www.cazqn.com/article/202312/453840.htm Tue, 12 Dec 2023 21:16:44 +0800
<![CDATA[ 巴斯夫推出全新抗氧化剂解决方案Irgastab PUR 71,可提高多元醇和聚氨酯泡沫的合规性和产品性能 ]]>

■    配方中不含芳香胺,有助于改善环境、保障人体健康和生命安全

■   作为优质的抗烧焦解决方案,具备卓越的性能并可降低排放水平

■   可持续性的解决方案,能够为多元醇和聚氨酯泡沫生产商带来竞争优势

巴斯夫推出的这款优质、合规且性能卓越的创新型抗烧焦解决方案Irgastab® PUR 71不含芳香胺,有效解决了传统抗烧焦添加剂的局限性,凭借其出色的环保、健康和安全性能,该解决方案还可满足行业内日益严苛的物质分类和可持续监管要求。

“Irgastab PUR 71再次印证了巴斯夫的创新与行业合作承诺:即为我们的客户提供传统解决方案的可持续替代方案,使他们能够在瞬息万变的全球市场中获得突出的竞争优势,”巴斯夫塑料添加剂全球营销与开发负责人Bettina Sobotka博士说道,“得益于我们在添加剂领域的斐然成绩,以及致力于汽车和家私行业发展的全球专家团队,我们一直开拓创新,努力研发不仅能提高性能、还能促进可持续发展的尖端技术和解决方案。”

在聚氨酯泡沫的生产过程中,如果作为主要原料的多元醇不能保持稳定,那么生产过程中产生的热量会导致聚氨酯泡沫变色、机械性能下降,甚至引发火灾。虽然这些问题通过在传统的抗烧焦添加剂中使用酚类抗氧化剂与芳香胺稳定剂也可以解决,但这二者存在一些明显的缺点,如气味大、毒性问题或挥发性高等。

而巴斯夫全新的抗烧焦添加剂可以大大减少聚氨酯泡沫加工过程中放热反应引起的氧化降解。另外,Irgastab PUR 71未添加芳香胺,可有效减少排放,降低对人类和水生生物的潜在危害,从而大大降低聚氨酯泡沫中释放的挥发性有机化合物(VOC)和雾化值(FOG)。基于这些特性,汽车内部的空气质量可以得到极大的改善,为汽车制造商创造竞争优势。

Irgastab PUR 71可为各行各业提供具有针对性的应用优势。它符合最严格的汽车行业规范,可以减少排放,改善车内空气质量。在家私行业,它能够为多元醇和聚氨酯泡沫生产商提供卓越的抗烧焦性能,防止发泡过程中产生热降解。此外,它不含致癌物质、致基因突变物质和致生殖毒性物质(CMR),有利于多元醇生产商获得环保自愿认证。对于消费品来说,它能提高白度,防止气熏黄变和光致变色引起的颜色变化,从而提高产品质量。

Irgastab PUR 71是巴斯夫VALERAS®产品组合的新成员,与其他VALERAS®系列产品一样,助力巴斯夫利用其塑料添加剂业务的创新解决方案,在整个聚合物价值链中提高聚合物的可持续性。

]]>

■    配方中不含芳香胺,有助于改善环境、保障人体健康和生命安全

■   作为优质的抗烧焦解决方案,具备卓越的性能并可降低排放水平

■   可持续性的解决方案,能够为多元醇和聚氨酯泡沫生产商带来竞争优势

巴斯夫推出的这款优质、合规且性能卓越的创新型抗烧焦解决方案Irgastab® PUR 71不含芳香胺,有效解决了传统抗烧焦添加剂的局限性,凭借其出色的环保、健康和安全性能,该解决方案还可满足行业内日益严苛的物质分类和可持续监管要求。

“Irgastab PUR 71再次印证了巴斯夫的创新与行业合作承诺:即为我们的客户提供传统解决方案的可持续替代方案,使他们能够在瞬息万变的全球市场中获得突出的竞争优势,”巴斯夫塑料添加剂全球营销与开发负责人Bettina Sobotka博士说道,“得益于我们在添加剂领域的斐然成绩,以及致力于汽车和家私行业发展的全球专家团队,我们一直开拓创新,努力研发不仅能提高性能、还能促进可持续发展的尖端技术和解决方案。”

在聚氨酯泡沫的生产过程中,如果作为主要原料的多元醇不能保持稳定,那么生产过程中产生的热量会导致聚氨酯泡沫变色、机械性能下降,甚至引发火灾。虽然这些问题通过在传统的抗烧焦添加剂中使用酚类抗氧化剂与芳香胺稳定剂也可以解决,但这二者存在一些明显的缺点,如气味大、毒性问题或挥发性高等。

而巴斯夫全新的抗烧焦添加剂可以大大减少聚氨酯泡沫加工过程中放热反应引起的氧化降解。另外,Irgastab PUR 71未添加芳香胺,可有效减少排放,降低对人类和水生生物的潜在危害,从而大大降低聚氨酯泡沫中释放的挥发性有机化合物(VOC)和雾化值(FOG)。基于这些特性,汽车内部的空气质量可以得到极大的改善,为汽车制造商创造竞争优势。

Irgastab PUR 71可为各行各业提供具有针对性的应用优势。它符合最严格的汽车行业规范,可以减少排放,改善车内空气质量。在家私行业,它能够为多元醇和聚氨酯泡沫生产商提供卓越的抗烧焦性能,防止发泡过程中产生热降解。此外,它不含致癌物质、致基因突变物质和致生殖毒性物质(CMR),有利于多元醇生产商获得环保自愿认证。对于消费品来说,它能提高白度,防止气熏黄变和光致变色引起的颜色变化,从而提高产品质量。

Irgastab PUR 71是巴斯夫VALERAS®产品组合的新成员,与其他VALERAS®系列产品一样,助力巴斯夫利用其塑料添加剂业务的创新解决方案,在整个聚合物价值链中提高聚合物的可持续性。

]]>
//www.cazqn.com/article/202312/453839.htm Tue, 12 Dec 2023 18:37:01 +0800
<![CDATA[ 鼎阳科技发布SDS800X HD系列数字示波器,打造专业级示波器新标杆 ]]> 鼎阳科技发布SDS800X HD系列高分辨率数字示波器。这款高分辨率数字示波器以“玩点专业的”作为主要宣传语,着重面向广大电子爱好者及个人工程师,以1980的起价直接重新定义入门级专业数字示波器,意在推动鼎阳科技一直以来的愿景:让每个工程师都能拥有专业的测试测量设备。

1702377054707708.png

专业级性能表现

SDS800X HD表现不俗

SDS800X HD作为后标带有“HD”的高分辨率数字示波器产品系列,具有12-bit高分辨率,最高带宽可达200 MHz,并具有最高2 GSa/s采样率和最高100 Mpts的存储深度,以入门级的价格直接实现专业级的性能表现。据悉,市场类似产品的价格通常不菲,而SDS800X HD则以不到两千元的价格,一举打破了入门级专业示波器的市场价格体系与格局。

其全系列标配12-bit高分辨率,具有极佳的信号检测和显示能力,有助于分析信号的细节与特征,在高精度电源测试、测量电源纹波、优化器件性能、检测和诊断发动机控制单元、医疗设备使用等应用中具有极大优势。而其高达100 Mpts的长存储深度,也使其能适应长时间信号观测,方便工程师观察分析长时间信号、低频信号和瞬态现象。

1702377082908422.png

完备的软件搭配

可适应更多测量场合

不仅在硬件性能上表现卓越,SDS800X HD还具有完备的软件功能以适应更多测量场合。SDS800X HD具有丰富的触发功能,包括边沿、斜率、延迟、建立/保持时间和多种总线触发(串行触发)。支持嵌入式行业的I2C、SPI、UART协议及汽车行业的CAN、LIN协议的触发与解码,能够准确捕获并直观地将总线的协议信息以表格形式或其它方式显示,稳定进行测试。

1702377107696106.png

同时,其数学分析能力也十分强大,内置FFT功能,最大运算点数可达2M点,并具有4条独立Math波形,支持用户自定义运算表达式,在优越的频谱分辨率下可更准确的分析信号频率成分及能量分布。

1702377132114137.png

除去基础的测量分析功能,SDS800X HD还全系列标配波特图功能,并且可以选配电源分析选件。搭配鼎阳科技隔离信号发生器选件或任意波形发生器即可进行环路响应测试,提供被测设备的频率响应曲线,轻松获得各个频率点下的增益和相位值。SDS800X HD也可以快速准确地分析电源质量、电流谐波、浪涌电流、瞬变响应、安全作业区(SOA)等12项参数,帮助工程师解除繁琐的手工配置和复杂的公式计算。

1702377151240561.png

高分辨率数字示波器产品线再次拓宽

鼎阳科技意在建立行业新标准

据了解,鼎阳科技目前旗下已有SDS7000A系列、SDS6000 Pro系列、SDS6000L系列、SDS3000X HD系列、SDS2000X HD系列、SDS1000X HD、SDS800X HD系列7个高分辨率数字示波器系列,并且根据不同的参数区分其适用范围,可分别满足包括高端科研、嵌入式、汽车电子、新能源、半导体、基础教学等多个领域的需求。

而本次SDS800X HD的发布,就是鼎阳科技在入门级专业数字示波器领域的新尝试。据悉,对比其他等值的产品,SDS800X HD在硬件和软件两方面都做了提升。比起过往的千元级示波器只能“图一乐”的简单作用,SDS800X HD真正做到了“专业级入门”与“适配多行业”,从“玩具”上升到了“工具”。而这也体现了鼎阳科技在通用测试测量仪器领域日渐提升的影响力。

多年耕耘不忘初心

鼎阳科技致力于实现愿景

据悉,鼎阳科技是一家以研发为导向的科技创新型A股上市企业,具有国家专精特新“小巨人”、广东省单项冠军等多项荣誉。而现如今,在国产化的浪潮之下,鼎阳科技在蓬勃发展的同时,亦以营收15%左右的经费投入研发中,实现产品的快速迭代与产品线的快速拓展。

为了实现其愿景“让每一个工程师都能拥有专业级的电子测试测量设备”而研发的SDS800X HD,正是其在长风破浪时不忘回馈市场的证明。我们有理由相信,这样坚持研发、坚持初心的企业,在未来还将有更好的发展前景,为国家的通用电子测试测量仪器国产化添砖加瓦。

]]>
鼎阳科技发布SDS800X HD系列高分辨率数字示波器。这款高分辨率数字示波器以“玩点专业的”作为主要宣传语,着重面向广大电子爱好者及个人工程师,以1980的起价直接重新定义入门级专业数字示波器,意在推动鼎阳科技一直以来的愿景:让每个工程师都能拥有专业的测试测量设备。

1702377054707708.png

专业级性能表现

SDS800X HD表现不俗

SDS800X HD作为后标带有“HD”的高分辨率数字示波器产品系列,具有12-bit高分辨率,最高带宽可达200 MHz,并具有最高2 GSa/s采样率和最高100 Mpts的存储深度,以入门级的价格直接实现专业级的性能表现。据悉,市场类似产品的价格通常不菲,而SDS800X HD则以不到两千元的价格,一举打破了入门级专业示波器的市场价格体系与格局。

其全系列标配12-bit高分辨率,具有极佳的信号检测和显示能力,有助于分析信号的细节与特征,在高精度电源测试、测量电源纹波、优化器件性能、检测和诊断发动机控制单元、医疗设备使用等应用中具有极大优势。而其高达100 Mpts的长存储深度,也使其能适应长时间信号观测,方便工程师观察分析长时间信号、低频信号和瞬态现象。

1702377082908422.png

完备的软件搭配

可适应更多测量场合

不仅在硬件性能上表现卓越,SDS800X HD还具有完备的软件功能以适应更多测量场合。SDS800X HD具有丰富的触发功能,包括边沿、斜率、延迟、建立/保持时间和多种总线触发(串行触发)。支持嵌入式行业的I2C、SPI、UART协议及汽车行业的CAN、LIN协议的触发与解码,能够准确捕获并直观地将总线的协议信息以表格形式或其它方式显示,稳定进行测试。

1702377107696106.png

同时,其数学分析能力也十分强大,内置FFT功能,最大运算点数可达2M点,并具有4条独立Math波形,支持用户自定义运算表达式,在优越的频谱分辨率下可更准确的分析信号频率成分及能量分布。

1702377132114137.png

除去基础的测量分析功能,SDS800X HD还全系列标配波特图功能,并且可以选配电源分析选件。搭配鼎阳科技隔离信号发生器选件或任意波形发生器即可进行环路响应测试,提供被测设备的频率响应曲线,轻松获得各个频率点下的增益和相位值。SDS800X HD也可以快速准确地分析电源质量、电流谐波、浪涌电流、瞬变响应、安全作业区(SOA)等12项参数,帮助工程师解除繁琐的手工配置和复杂的公式计算。

1702377151240561.png

高分辨率数字示波器产品线再次拓宽

鼎阳科技意在建立行业新标准

据了解,鼎阳科技目前旗下已有SDS7000A系列、SDS6000 Pro系列、SDS6000L系列、SDS3000X HD系列、SDS2000X HD系列、SDS1000X HD、SDS800X HD系列7个高分辨率数字示波器系列,并且根据不同的参数区分其适用范围,可分别满足包括高端科研、嵌入式、汽车电子、新能源、半导体、基础教学等多个领域的需求。

而本次SDS800X HD的发布,就是鼎阳科技在入门级专业数字示波器领域的新尝试。据悉,对比其他等值的产品,SDS800X HD在硬件和软件两方面都做了提升。比起过往的千元级示波器只能“图一乐”的简单作用,SDS800X HD真正做到了“专业级入门”与“适配多行业”,从“玩具”上升到了“工具”。而这也体现了鼎阳科技在通用测试测量仪器领域日渐提升的影响力。

多年耕耘不忘初心

鼎阳科技致力于实现愿景

据悉,鼎阳科技是一家以研发为导向的科技创新型A股上市企业,具有国家专精特新“小巨人”、广东省单项冠军等多项荣誉。而现如今,在国产化的浪潮之下,鼎阳科技在蓬勃发展的同时,亦以营收15%左右的经费投入研发中,实现产品的快速迭代与产品线的快速拓展。

为了实现其愿景“让每一个工程师都能拥有专业级的电子测试测量设备”而研发的SDS800X HD,正是其在长风破浪时不忘回馈市场的证明。我们有理由相信,这样坚持研发、坚持初心的企业,在未来还将有更好的发展前景,为国家的通用电子测试测量仪器国产化添砖加瓦。

]]>
//www.cazqn.com/article/202312/453838.htm Tue, 12 Dec 2023 18:30:07 +0800
<![CDATA[ Bourns推出四款全新大功率电流检测电阻,为电力电子设计节省能源 ]]> 美国柏恩 Bourns 全球知名电源、保护和传感解决方案电子组件领导制造供货商,推出四款全新大功率、极低欧姆电流检测电阻系列。Bourns 全新电流测量设备专为在电力电子设计中节省能源,同时最大化传感性能。该系列具有低温度系数 (TCR),可在广泛的温度范围内提供操作精度和卓越的长期稳定性。其极低的电阻水平、低热电磁势 (EMF) 和大功率处理能力使它们成为各项工业和消费应用以及电池管理系统 (BMS)、开关电源 (SMPS) 和马达驱动等电力电子领域的理想解决方案。

电流检测电阻产品由于其精确的测量准确度和相对较低的成本,相较其他技术更为经济实惠,在大功率应用中的使用越来越广泛。Bourns 开发该系列,旨在协助设计人员满足监测准确度,以提供有助于电路高效运行的电流读数。

1702376615139188.jpg

Bourns全新电阻器系列采用金属感应引脚为大电流设计提供

Bourns 全新 CSI 系列采用电子束焊接 (EBW) 电阻和铜合金端子构造,提供两端子和四端子两款选项。两端子提供三种不同的封装尺寸:5930、3920 和 2512。四端子设备封装尺寸为 4026,可进行非常精确的四线 Kelvin (K) 电阻测量。金属合金电流检测组件支持低热电磁势 (EMF) 以及在 20 °C 至 60 °C 温度范围内 ±50 PPM/°C 的低温度系数 (TCR)。全新四款电流检测电阻的阻值均可达 0.2 毫欧姆,客户可以从多款低阻值选项和高达15 W 的功率等级中拣选合适的型号。

全新四款 Bourns® CSI 系列大功率、极低欧姆电流检测电阻现已上市,全系列均符合 RoHS* 标准且为无卤产品**

]]>
美国柏恩 Bourns 全球知名电源、保护和传感解决方案电子组件领导制造供货商,推出四款全新大功率、极低欧姆电流检测电阻系列。Bourns 全新电流测量设备专为在电力电子设计中节省能源,同时最大化传感性能。该系列具有低温度系数 (TCR),可在广泛的温度范围内提供操作精度和卓越的长期稳定性。其极低的电阻水平、低热电磁势 (EMF) 和大功率处理能力使它们成为各项工业和消费应用以及电池管理系统 (BMS)、开关电源 (SMPS) 和马达驱动等电力电子领域的理想解决方案。

电流检测电阻产品由于其精确的测量准确度和相对较低的成本,相较其他技术更为经济实惠,在大功率应用中的使用越来越广泛。Bourns 开发该系列,旨在协助设计人员满足监测准确度,以提供有助于电路高效运行的电流读数。

1702376615139188.jpg

Bourns全新电阻器系列采用金属感应引脚为大电流设计提供

Bourns 全新 CSI 系列采用电子束焊接 (EBW) 电阻和铜合金端子构造,提供两端子和四端子两款选项。两端子提供三种不同的封装尺寸:5930、3920 和 2512。四端子设备封装尺寸为 4026,可进行非常精确的四线 Kelvin (K) 电阻测量。金属合金电流检测组件支持低热电磁势 (EMF) 以及在 20 °C 至 60 °C 温度范围内 ±50 PPM/°C 的低温度系数 (TCR)。全新四款电流检测电阻的阻值均可达 0.2 毫欧姆,客户可以从多款低阻值选项和高达15 W 的功率等级中拣选合适的型号。

全新四款 Bourns® CSI 系列大功率、极低欧姆电流检测电阻现已上市,全系列均符合 RoHS* 标准且为无卤产品**

]]>
//www.cazqn.com/article/202312/453837.htm Tue, 12 Dec 2023 18:23:11 +0800
<![CDATA[ SABIC开发出用于评估电动汽车电池包材料安全性能的全新测试方法 ]]> 全球多元化化工企业沙特基础工业公司(SABIC)设计并开发出一种采用高温火焰与颗粒撞击(喷灯和砂砾)来检测电动汽车(EV)电池包的全新方法。

随着电动汽车的普及,能量存储方案变得越发重要,而确保电池的安全性至关重要。热失控虽然罕见,但仍具有潜在危险,这涉及电芯内部的持续反应,可能导致过热,在极端情况下,甚至引发火灾或爆炸。

1702376389199445.jpg

为解决这一问题,业界不断探索降低热失控风险的方法,其中包括:

●   开发更安全的化学产品和固态电解质

●   改进电池管理系统

●   防止电芯间火势蔓延

●   控制和管理热失控事件产生的高温和有毒气体,以保护生命和财产安全

SABIC也参与了这项工作,并开发出一种正在申请专利的先进喷灯和砂砾测试方法,可独立控制温度、热量流量和颗粒冲击,以重现电池包热失控场景中的真实条件。

该项全新方法有助于快速测试和开发新材料,以满足预防热失控过程中的苛刻条件。

综合测试包括对 SABIC 已商业化和开发中的材料以及竞争性材料进行机械冲击,方法是以极高的速度喷射二氧化硅颗粒,同时控制好火焰,以模拟电芯在热失控过程中释放的烧蚀力。

通过在测试过程中系统地控制喷灯和砂砾,以及不同顺序和周期的暴露持续时间,我们可以研究、分析和了解材料在这些极端恶劣和苛刻条件下的表现。从中收集到的有关故障持续时间、光学和红外图像,以及温度和位移测量数据,对于准确评估材料至关重要。此外,数据结果还有助于设计全尺寸电池包热失控测试方案。

喷灯和砂砾测试加速了新解决方案的开发,减少了进行全电池包失控测试的时间和成本。

SABIC研究员Sreekanth Pannala表示,“利用在电池物理和化学、聚合物制造、材料科学、防火科学和防火聚合物科学方面的专业知识,SABIC致力于提供创新的材料解决方案,从而提高电池包和电动汽车的安全性。”

“通过喷灯和砂砾测试,我们可以更好地了解材料在极端热失控条件下的表现,确保我们的产品具有最高的安全性和可靠性。作为SABIC公司 BLUEHERO™ 电气化计划承诺的组成部分,我们致力于为这一不断增长的领域提供所需的解决方案和服务。” Pannala 补充道。

SABIC公司的喷灯和砂砾测试技术是该公司更广泛的多学科研发工作的一部分,致力于为可持续能源转型提供材料解决方案。

SABIC公司致力于持续创新,旨在开发出热稳定性更好的材料,降低各行业电池热失控的风险,同时与汽车行业伙伴合作开发安全、环保的储能技术。

]]>
全球多元化化工企业沙特基础工业公司(SABIC)设计并开发出一种采用高温火焰与颗粒撞击(喷灯和砂砾)来检测电动汽车(EV)电池包的全新方法。

随着电动汽车的普及,能量存储方案变得越发重要,而确保电池的安全性至关重要。热失控虽然罕见,但仍具有潜在危险,这涉及电芯内部的持续反应,可能导致过热,在极端情况下,甚至引发火灾或爆炸。

1702376389199445.jpg

为解决这一问题,业界不断探索降低热失控风险的方法,其中包括:

●   开发更安全的化学产品和固态电解质

●   改进电池管理系统

●   防止电芯间火势蔓延

●   控制和管理热失控事件产生的高温和有毒气体,以保护生命和财产安全

SABIC也参与了这项工作,并开发出一种正在申请专利的先进喷灯和砂砾测试方法,可独立控制温度、热量流量和颗粒冲击,以重现电池包热失控场景中的真实条件。

该项全新方法有助于快速测试和开发新材料,以满足预防热失控过程中的苛刻条件。

综合测试包括对 SABIC 已商业化和开发中的材料以及竞争性材料进行机械冲击,方法是以极高的速度喷射二氧化硅颗粒,同时控制好火焰,以模拟电芯在热失控过程中释放的烧蚀力。

通过在测试过程中系统地控制喷灯和砂砾,以及不同顺序和周期的暴露持续时间,我们可以研究、分析和了解材料在这些极端恶劣和苛刻条件下的表现。从中收集到的有关故障持续时间、光学和红外图像,以及温度和位移测量数据,对于准确评估材料至关重要。此外,数据结果还有助于设计全尺寸电池包热失控测试方案。

喷灯和砂砾测试加速了新解决方案的开发,减少了进行全电池包失控测试的时间和成本。

SABIC研究员Sreekanth Pannala表示,“利用在电池物理和化学、聚合物制造、材料科学、防火科学和防火聚合物科学方面的专业知识,SABIC致力于提供创新的材料解决方案,从而提高电池包和电动汽车的安全性。”

“通过喷灯和砂砾测试,我们可以更好地了解材料在极端热失控条件下的表现,确保我们的产品具有最高的安全性和可靠性。作为SABIC公司 BLUEHERO™ 电气化计划承诺的组成部分,我们致力于为这一不断增长的领域提供所需的解决方案和服务。” Pannala 补充道。

SABIC公司的喷灯和砂砾测试技术是该公司更广泛的多学科研发工作的一部分,致力于为可持续能源转型提供材料解决方案。

SABIC公司致力于持续创新,旨在开发出热稳定性更好的材料,降低各行业电池热失控的风险,同时与汽车行业伙伴合作开发安全、环保的储能技术。

]]>
//www.cazqn.com/article/202312/453836.htm Tue, 12 Dec 2023 18:19:34 +0800
<![CDATA[ 艾利丹尼森推出中国特色RFID标签产品组合,以数字化革新夯实供应链韧性 ]]> 作为一家专业从事材料科学和数字识别解决方案的全球性企业,艾利丹尼森宣布针对中国市场推出China for China(C4C)产品组合,总共涵盖17款超高频和3款高频的无线射频识别(RFID)inlay和标签,可广泛应用于零售、食品、物流、医疗等行业。

基于深刻的市场洞察,这些产品专为满足中国市场的需求而研发,可助力中国客户构建韧性且敏捷的供应链,加快数字化转型,在市场竞争中赢得一席之地。比如:RFID能为食品提供溯源、加强效期管理;赋能药品跟踪、验证和监管;还能增强零售的用户体验。通过这些数字化解决方案,可以帮助各行业的品牌更好地了解业务运营状况,改善供应链管理,提高透明度和效率,做出基于数据驱动的智能决策,从而有效应对供应链挑战。

1702376245796702.jpg

图片 艾利丹尼森推出中国特色RFID标签产品组合

近年来,缺芯问题给全球供应链带来了严峻的考验。作为艾利丹尼森产品组合的重要补充,本次发布的中国特色产品将为中国市场的及时供应提供有力保障。产品的inlay设计涵盖多种尺寸和线型,可满足鞋服、零售、航空、物流供应链、工业、图书、以及文档等不同领域的需求。除了常规应用之外,中国特色产品还提供有针对性的设计,比如针对抗金属等材料,也可以为客户提供国产芯片的产品。

 “艾利丹尼森始终坚持‘在中国,为中国’的战略。我们推出的每一款产品都基于深入的市场洞察而创造。期待让我们创新的识别解决方案能够更好地服务中国市场的客户,以数字化赋能供应链韧性,帮助我们的客户快速适应不断变化的市场需求,保持卓越的竞争力。” 艾利丹尼森北亚区数字解决方案及艾利丹尼森思创事业部副总裁兼总经理Dennis Khoo表示。

“作为一站式RFID标签合作伙伴,艾利丹尼森将继续矢志创新地探索数字标签技术,持续优化RFID性能,深耕中国市场,融入本地需求,推动数智化发展,与中国客户共享共赢。”Dennis 补充道。

]]>
作为一家专业从事材料科学和数字识别解决方案的全球性企业,艾利丹尼森宣布针对中国市场推出China for China(C4C)产品组合,总共涵盖17款超高频和3款高频的无线射频识别(RFID)inlay和标签,可广泛应用于零售、食品、物流、医疗等行业。

基于深刻的市场洞察,这些产品专为满足中国市场的需求而研发,可助力中国客户构建韧性且敏捷的供应链,加快数字化转型,在市场竞争中赢得一席之地。比如:RFID能为食品提供溯源、加强效期管理;赋能药品跟踪、验证和监管;还能增强零售的用户体验。通过这些数字化解决方案,可以帮助各行业的品牌更好地了解业务运营状况,改善供应链管理,提高透明度和效率,做出基于数据驱动的智能决策,从而有效应对供应链挑战。

1702376245796702.jpg

图片 艾利丹尼森推出中国特色RFID标签产品组合

近年来,缺芯问题给全球供应链带来了严峻的考验。作为艾利丹尼森产品组合的重要补充,本次发布的中国特色产品将为中国市场的及时供应提供有力保障。产品的inlay设计涵盖多种尺寸和线型,可满足鞋服、零售、航空、物流供应链、工业、图书、以及文档等不同领域的需求。除了常规应用之外,中国特色产品还提供有针对性的设计,比如针对抗金属等材料,也可以为客户提供国产芯片的产品。

 “艾利丹尼森始终坚持‘在中国,为中国’的战略。我们推出的每一款产品都基于深入的市场洞察而创造。期待让我们创新的识别解决方案能够更好地服务中国市场的客户,以数字化赋能供应链韧性,帮助我们的客户快速适应不断变化的市场需求,保持卓越的竞争力。” 艾利丹尼森北亚区数字解决方案及艾利丹尼森思创事业部副总裁兼总经理Dennis Khoo表示。

“作为一站式RFID标签合作伙伴,艾利丹尼森将继续矢志创新地探索数字标签技术,持续优化RFID性能,深耕中国市场,融入本地需求,推动数智化发展,与中国客户共享共赢。”Dennis 补充道。

]]>
//www.cazqn.com/article/202312/453835.htm Tue, 12 Dec 2023 18:17:06 +0800
<![CDATA[ 网络启动再进化,亚信USB以太网iPXE方案全新登场 ]]> 亚信电子USB 以太网 iPXE 解决方案,将先进的iPXE网络启动技术集成到亚信最新USB以太网芯片产品应用中,提供用户更强大、更灵活、与高效率的iPXE网络启动再进化新体验。

亚信电子(ASIX Electronics Corporation)近日宣布推出最新的「USB以太网iPXE解决方案」,透过将先进的iPXE网络启动技术集成到亚信USB以太网芯片产品应用中,为用户提供更强大、更灵活、与高效率的iPXE网络启动再进化新体验。此方案可支持亚信电子最新的USB以太网芯片,包括AX88279、AX88179B/AX88179A/AX88179,以及AX88772E/AX88772D等。

1702375910603959.jpg

(图一)亚信电子USB以太网iPXE解决方案

iPXE是一个开源的网络启动韧体技术,也是预启动执行环境(Preboot Execution Environment,PXE)的扩展版本。相较于传统的PXE,iPXE支持多种网络协议、各种网络驱动、HTTPS网络安全通信、与自定义脚本启动流程设置等进阶功能,提供用户一个更强大、更灵活、与高效率的网络启动解决方案。iPXE可支持多种网络协议,包括HTTP、iSCSI SAN 、FCoE 、AoE SAN、无线网络(Wireless)和广域网(WAN)等。

亚信电子USB以太网iPXE解决方案,提供用户一个高扩展性、高灵活性、与可携性的网络启动解决方案,特别是在装置本身不支持网络接口或PXE功能的情况下,使用亚信USB网卡,即可轻松地体验先进的iPXE网络启动功能。在实行这项方案时,使用者可以自制亚信USB以太网iPXE USB启动盘,并使用此USB启动盘将装置启动成为iPXE用户端。透过亚信USB网卡,即可轻松地连线到iPXE DHCP/TFTP伺服器,并从伺服器下载启动映像文件,完成简便的iPXE网络启动程序。这个方案在各种应用场景中具有多种优势,以下是一些主要的特点:

●   高扩展性:iPXE透过支持多种网络协议、各种网络驱动、与自定义脚本启动流程设置等进阶功能,使其具有高度的可扩展性,以满足不同应用场景的网络开机需求。

●   高灵活性:可灵活地运用于各种需要网络启动的产品应用中,特别是对于没有内置网络能力或不支持PXE功能的设备。

●   可携带性:USB网卡容易携带的特性,提供用户一个非常便利的网络启动解决方案。

●   应急故障排除:当设备内置的网络端口出现故障时,这个方案可作为紧急恢复和故障排除的备用网络启动解决方案。

●   延长老旧系统寿命:此方案对于不支持网络功能的老旧系统特别有用,透过USB接口即可轻松支持网络启动功能,可有效地延长老旧系统的使用寿命与可用性。

亚信电子最新的USB以太网芯片,皆可支持免驱动(Driverless)与即插即用(Plug and Play)的便利连网功能,可适用于各种需要透过USB接口实现以太网连网功能的产品应用,包括笔记型电脑、USB网卡、智能手机/平板电脑、游戏机、POS收银机、5G/LTE路由器,以及各种支持USB端口的嵌入式系统等,为智能家居和办公室网络产品相关应用提供最佳性价比的USB超高速以太网芯片解决方案。

]]>
亚信电子USB 以太网 iPXE 解决方案,将先进的iPXE网络启动技术集成到亚信最新USB以太网芯片产品应用中,提供用户更强大、更灵活、与高效率的iPXE网络启动再进化新体验。

亚信电子(ASIX Electronics Corporation)近日宣布推出最新的「USB以太网iPXE解决方案」,透过将先进的iPXE网络启动技术集成到亚信USB以太网芯片产品应用中,为用户提供更强大、更灵活、与高效率的iPXE网络启动再进化新体验。此方案可支持亚信电子最新的USB以太网芯片,包括AX88279、AX88179B/AX88179A/AX88179,以及AX88772E/AX88772D等。

1702375910603959.jpg

(图一)亚信电子USB以太网iPXE解决方案

iPXE是一个开源的网络启动韧体技术,也是预启动执行环境(Preboot Execution Environment,PXE)的扩展版本。相较于传统的PXE,iPXE支持多种网络协议、各种网络驱动、HTTPS网络安全通信、与自定义脚本启动流程设置等进阶功能,提供用户一个更强大、更灵活、与高效率的网络启动解决方案。iPXE可支持多种网络协议,包括HTTP、iSCSI SAN 、FCoE 、AoE SAN、无线网络(Wireless)和广域网(WAN)等。

亚信电子USB以太网iPXE解决方案,提供用户一个高扩展性、高灵活性、与可携性的网络启动解决方案,特别是在装置本身不支持网络接口或PXE功能的情况下,使用亚信USB网卡,即可轻松地体验先进的iPXE网络启动功能。在实行这项方案时,使用者可以自制亚信USB以太网iPXE USB启动盘,并使用此USB启动盘将装置启动成为iPXE用户端。透过亚信USB网卡,即可轻松地连线到iPXE DHCP/TFTP伺服器,并从伺服器下载启动映像文件,完成简便的iPXE网络启动程序。这个方案在各种应用场景中具有多种优势,以下是一些主要的特点:

●   高扩展性:iPXE透过支持多种网络协议、各种网络驱动、与自定义脚本启动流程设置等进阶功能,使其具有高度的可扩展性,以满足不同应用场景的网络开机需求。

●   高灵活性:可灵活地运用于各种需要网络启动的产品应用中,特别是对于没有内置网络能力或不支持PXE功能的设备。

●   可携带性:USB网卡容易携带的特性,提供用户一个非常便利的网络启动解决方案。

●   应急故障排除:当设备内置的网络端口出现故障时,这个方案可作为紧急恢复和故障排除的备用网络启动解决方案。

●   延长老旧系统寿命:此方案对于不支持网络功能的老旧系统特别有用,透过USB接口即可轻松支持网络启动功能,可有效地延长老旧系统的使用寿命与可用性。

亚信电子最新的USB以太网芯片,皆可支持免驱动(Driverless)与即插即用(Plug and Play)的便利连网功能,可适用于各种需要透过USB接口实现以太网连网功能的产品应用,包括笔记型电脑、USB网卡、智能手机/平板电脑、游戏机、POS收银机、5G/LTE路由器,以及各种支持USB端口的嵌入式系统等,为智能家居和办公室网络产品相关应用提供最佳性价比的USB超高速以太网芯片解决方案。

]]>
//www.cazqn.com/article/202312/453834.htm Tue, 12 Dec 2023 18:11:09 +0800
<![CDATA[ Littelfuse推出用于5x20mm保险丝的高额定电流保险丝盒 ]]> Littelfuse公司是一家工业技术制造公司,致力于为可持续发展、互联互通和更安全的世界提供动力,宣布最新发布656和658系列保险丝盒产品系列。这些保险丝盒提供更高的额定电流,根据具体的应用要求,为电子工程师和设计师提供更多选择。

1702375501899458.jpg

656和658系列保险丝盒产品系列

这些高电阻保险丝盒的主要区别在于能够支持PCB安装(656)和表面安装(658)选项中的更高额定电流。当前版本的额定电流为16A,Littelfuse现在提供额定电流为20A和30A的版本,电流范围得到扩展,这样客户就可以根据其应用需求选择合适的额定电流,包括:

●   消费电子产品

●   数据中心

●   电信

●   楼宇和家庭自动化

●   家用电器

●   HVACR

●   一般工业

●   以及电气设备

Littelfuse全球产品经理Style Liu表示:“这些新的保险丝盒为我们的客户提供了更大的灵活性和更多选择。通过提供更高的额定电流,我们确保我们的客户有必要的选项来满足他们的特定应用要求。”

656和658系列保险丝盒有以下主要优势:

●   为需要5 x 20mm保险丝尺寸的设计提供PCB安装和表面安装解决方案

●   500Vac/Vdc的高额定电压和30A的额定电流可以适应许多电源应用

●   通过UL认证,符合UL / CSA 4248-1标准

供货情况

656和658系列保险丝盒可散装交货,每包数量为100个,658系列提供卷带包装,起订量为400个。可通过Littelfuse全球各地的授权经销商索取样品。

]]>
Littelfuse公司是一家工业技术制造公司,致力于为可持续发展、互联互通和更安全的世界提供动力,宣布最新发布656和658系列保险丝盒产品系列。这些保险丝盒提供更高的额定电流,根据具体的应用要求,为电子工程师和设计师提供更多选择。

1702375501899458.jpg

656和658系列保险丝盒产品系列

这些高电阻保险丝盒的主要区别在于能够支持PCB安装(656)和表面安装(658)选项中的更高额定电流。当前版本的额定电流为16A,Littelfuse现在提供额定电流为20A和30A的版本,电流范围得到扩展,这样客户就可以根据其应用需求选择合适的额定电流,包括:

●   消费电子产品

●   数据中心

●   电信

●   楼宇和家庭自动化

●   家用电器

●   HVACR

●   一般工业

●   以及电气设备

Littelfuse全球产品经理Style Liu表示:“这些新的保险丝盒为我们的客户提供了更大的灵活性和更多选择。通过提供更高的额定电流,我们确保我们的客户有必要的选项来满足他们的特定应用要求。”

656和658系列保险丝盒有以下主要优势:

●   为需要5 x 20mm保险丝尺寸的设计提供PCB安装和表面安装解决方案

●   500Vac/Vdc的高额定电压和30A的额定电流可以适应许多电源应用

●   通过UL认证,符合UL / CSA 4248-1标准

供货情况

656和658系列保险丝盒可散装交货,每包数量为100个,658系列提供卷带包装,起订量为400个。可通过Littelfuse全球各地的授权经销商索取样品。

]]>
//www.cazqn.com/article/202312/453833.htm Tue, 12 Dec 2023 18:04:36 +0800
<![CDATA[ Gartner发布2024年影响基础设施和运营的重要趋势 ]]> Gartner发布了未来12至18个月将对基础设施和运营(I&O)产生重要影响的六个趋势。

Gartner研究副总裁Jeffrey Hewitt表示:“I&O领导者缺少时间、技能和预算来跟踪新兴趋势及其对I&O的全面影响。”“他们应参考2024年影响I&O的重要趋势,确定哪些新兴趋势最有可能影响所在企业机构,并采取有效的应对策略。”

2024年影响I&O的重要趋势包括:

趋势1:机器客户

机器客户指支付费用换取商品或服务的非人类经济行为体,例如虚拟个人助理、智能家电和智能网联汽车等。Gartner预计,机器客户的数量今后将稳步上升。到2027年,发达经济体将有50%的人口每天使用个人AI助手。

Hewitt表示:“机器客户具备强大优势,激发了人们的兴趣和采用热情。但同时,这一趋势也给企业带来了挑战,例如需要重新构建运营和业务模式等。”“I&O领导者应确定适合的机器客户用例及其所需的技术流程和技能,并围绕数字商务和生成式人工智能构建能力,为此类用例提供最佳支持。”

趋势2: AI信任、风险和安全管理(AI TRiSM)

AI TRiSM能够支持AI模型治理,改善模型的可信度、公平性、可靠性、稳健性、有效性和数据保护。I&O部门必须落实并支持AI所需的新型风险和安全管理。Gartner预测:到2026年,成功实施AI TRiSM的企业机构,其AI模型的采用率、业务目标达成度和用户接受度将提升50%。

Hewitt表示:“AI TRiSM不仅可以提高AI的实施效率,而且有助于防止由AI的潜在问题引发的财务、监管、社会和道德后果。”

趋势3: 增强型互联员工队伍

增强型互联员工队伍指通过对技术服务和应用进行有意识的管理、部署和定制化调整,提升员工队伍的体验、福祉和发展自身技能的能力。该方法可以加快新员工的入职速度并推动业务成果,从而对关键利益相关者产生积极影响。

Hewitt表示:“对于I&O而言,这是一种相对较新的思维方式。要采纳这一思维方式,I&O部门不仅需要培养新技能并建立新的工作流视角,还需要在I&O以及IT部门之外开展合作,且这种合作的实现有赖于对IT部门之外具体事项的关注,部分情况下还需要其他部门高管的参与。”

趋势4: 持续威胁暴露面管理(CTEM)

CTEM计划是一套综合、迭代的方法,旨在确定潜在威胁的优先级别并不断改善安全态势。随着技术的发展,企业的攻击面不断扩大,威胁暴露面也超出了一般IT环境的范围,因此需要新的方法来应对潜在威胁。CTEM作为一种新方法,专注于对威胁暴露面进行优先级排序,而不是修复所有漏洞。

Hewitt表示:“CTEM实现了方法的转变,从单纯的预防性方法转向了更加成熟、具备检测和响应能力的战略增强型预防控制手段。”I&O部门应在内部组建一支CTEM团队,负责应对本地基础设施以及云和边缘存在的漏洞。

趋势5:生成式人工智能全民化

生成式人工智能(GenAI)使用会话式界面和自然语言,可推动知识和技能的全民化。Gartner在2023年9月对1400名高管进行了一项调查。调查发现,55%的企业机构正在开展GenAI试点或已将其用于生产环境。云计算与开源模式的融合,正在推动GenAI产品迈向全民化。对于I&O而言,GenAI的影响主要体现在两个方面:GenAI在I&O领域的使用,以及GenAI对于I&O工作的影响。

Hewitt表示:“生成式人工智能全民化提供了一种新的工作范式,可以提高I&O的敏捷性、适应性和可组装性。”“但同时,对于GenAI的过度或超出需求的使用,可能会引发不可接受的成本并对环境造成负面影响。”

趋势6: 民族主义与全球主义

民族主义与全球主义包含由国家主导的旨在减少对外来产品、人才和服务依赖性的举措。国际冲突使人们开始关注更具民族主义倾向的技术观点,此类观点推崇更加本土化的技术策略,这将给I&O团队带来压力,迫使他们寻求能够将更多技术、资源和人才留在本国的解决方案。

Hewitt表示:“目前已有许多旨在影响IT资源重心的举措,从此前的全球化视角转向了更具民族主义倾向的策略。这些举措蕴含的转变会给目前使用境外供应商的国家带来新的风险。”“I&O领导者应明确企业当前存在的依赖关系及其蕴含的风险,并带头制定行动计划,以应对国家相关法规和政策可能发生的重大变化给自身带来的影响。”

]]>
Gartner发布了未来12至18个月将对基础设施和运营(I&O)产生重要影响的六个趋势。

Gartner研究副总裁Jeffrey Hewitt表示:“I&O领导者缺少时间、技能和预算来跟踪新兴趋势及其对I&O的全面影响。”“他们应参考2024年影响I&O的重要趋势,确定哪些新兴趋势最有可能影响所在企业机构,并采取有效的应对策略。”

2024年影响I&O的重要趋势包括:

趋势1:机器客户

机器客户指支付费用换取商品或服务的非人类经济行为体,例如虚拟个人助理、智能家电和智能网联汽车等。Gartner预计,机器客户的数量今后将稳步上升。到2027年,发达经济体将有50%的人口每天使用个人AI助手。

Hewitt表示:“机器客户具备强大优势,激发了人们的兴趣和采用热情。但同时,这一趋势也给企业带来了挑战,例如需要重新构建运营和业务模式等。”“I&O领导者应确定适合的机器客户用例及其所需的技术流程和技能,并围绕数字商务和生成式人工智能构建能力,为此类用例提供最佳支持。”

趋势2: AI信任、风险和安全管理(AI TRiSM)

AI TRiSM能够支持AI模型治理,改善模型的可信度、公平性、可靠性、稳健性、有效性和数据保护。I&O部门必须落实并支持AI所需的新型风险和安全管理。Gartner预测:到2026年,成功实施AI TRiSM的企业机构,其AI模型的采用率、业务目标达成度和用户接受度将提升50%。

Hewitt表示:“AI TRiSM不仅可以提高AI的实施效率,而且有助于防止由AI的潜在问题引发的财务、监管、社会和道德后果。”

趋势3: 增强型互联员工队伍

增强型互联员工队伍指通过对技术服务和应用进行有意识的管理、部署和定制化调整,提升员工队伍的体验、福祉和发展自身技能的能力。该方法可以加快新员工的入职速度并推动业务成果,从而对关键利益相关者产生积极影响。

Hewitt表示:“对于I&O而言,这是一种相对较新的思维方式。要采纳这一思维方式,I&O部门不仅需要培养新技能并建立新的工作流视角,还需要在I&O以及IT部门之外开展合作,且这种合作的实现有赖于对IT部门之外具体事项的关注,部分情况下还需要其他部门高管的参与。”

趋势4: 持续威胁暴露面管理(CTEM)

CTEM计划是一套综合、迭代的方法,旨在确定潜在威胁的优先级别并不断改善安全态势。随着技术的发展,企业的攻击面不断扩大,威胁暴露面也超出了一般IT环境的范围,因此需要新的方法来应对潜在威胁。CTEM作为一种新方法,专注于对威胁暴露面进行优先级排序,而不是修复所有漏洞。

Hewitt表示:“CTEM实现了方法的转变,从单纯的预防性方法转向了更加成熟、具备检测和响应能力的战略增强型预防控制手段。”I&O部门应在内部组建一支CTEM团队,负责应对本地基础设施以及云和边缘存在的漏洞。

趋势5:生成式人工智能全民化

生成式人工智能(GenAI)使用会话式界面和自然语言,可推动知识和技能的全民化。Gartner在2023年9月对1400名高管进行了一项调查。调查发现,55%的企业机构正在开展GenAI试点或已将其用于生产环境。云计算与开源模式的融合,正在推动GenAI产品迈向全民化。对于I&O而言,GenAI的影响主要体现在两个方面:GenAI在I&O领域的使用,以及GenAI对于I&O工作的影响。

Hewitt表示:“生成式人工智能全民化提供了一种新的工作范式,可以提高I&O的敏捷性、适应性和可组装性。”“但同时,对于GenAI的过度或超出需求的使用,可能会引发不可接受的成本并对环境造成负面影响。”

趋势6: 民族主义与全球主义

民族主义与全球主义包含由国家主导的旨在减少对外来产品、人才和服务依赖性的举措。国际冲突使人们开始关注更具民族主义倾向的技术观点,此类观点推崇更加本土化的技术策略,这将给I&O团队带来压力,迫使他们寻求能够将更多技术、资源和人才留在本国的解决方案。

Hewitt表示:“目前已有许多旨在影响IT资源重心的举措,从此前的全球化视角转向了更具民族主义倾向的策略。这些举措蕴含的转变会给目前使用境外供应商的国家带来新的风险。”“I&O领导者应明确企业当前存在的依赖关系及其蕴含的风险,并带头制定行动计划,以应对国家相关法规和政策可能发生的重大变化给自身带来的影响。”

]]>
//www.cazqn.com/article/202312/453827.htm Tue, 12 Dec 2023 17:51:30 +0800
<![CDATA[ Bourns 推出四款全新大功率电流检测电阻系列 专为电力电子提供精确的电流测量 ]]> 20231212 - 美国柏恩 Bourns 全球知名电源、保护和传感解决方案电子组件领导制造供货商,推出四款全新大功率、极低欧姆电流检测电阻系列。Bourns 全新电流测量设备专为在电力电子设计中节省能源,同时最大化传感性能。该系列具有低温度系数 (TCR),可在广泛的温度范围内提供操作精度和卓越的长期稳定性。其极低的电阻水平、低热电磁势 (EMF) 和大功率处理能力使它们成为各项工业和消费应用以及电池管理系统 (BMS)、开关电源 (SMPS) 和马达驱动等电力电子领域的理想解决方案。

image.png

电流检测电阻产品由于其精确的测量准确度和相对较低的成本,相较其他技术更为经济实惠,在大功率应用中的使用越来越广泛。Bourns 开发该系列,旨在协助设计人员满足监测准确度,以提供有助于电路高效运行的电流读数。

Bourns 全新 CSI 系列采用电子束焊接 (EBW) 电阻和铜合金端子构造,提供两端子和四端子两款选项。两端子提供三种不同的封装尺寸:59303920  2512。四端子设备封装尺寸为 4026,可进行非常精确的四线 Kelvin (K) 电阻测量。金属合金电流检测组件支持低热电磁势 (EMF) 以及在 20 °C 60 °C 温度范围内 ±50 PPM/°C 的低温度系数 (TCR)。全新四款电流检测电阻的阻值均可达 0.2 毫欧姆,客户可以从多款低阻值选项和高达15 W 的功率等级中拣选合适的型号。

全新四款 Bourns® CSI 系列大功率、极低欧姆电流检测电阻现已上市,全系列均符合 RoHS* 标准且为无卤产品**。有关更多详细的产品信息,请参阅:www.bourns.com/zh-chs/products/resistors/current-sense-resistors

*RoHS指令 2015/863 2015 3 31 日和附件。

**Bourns 产品符合无卤要求前提 (a) 溴含量少于等于 900 ppm (b) 氯含量少于等于 900 ppm,并且 (c) 溴与氯的 含量总和少于等于 1500 ppm


]]>
20231212 - 美国柏恩 Bourns 全球知名电源、保护和传感解决方案电子组件领导制造供货商,推出四款全新大功率、极低欧姆电流检测电阻系列。Bourns 全新电流测量设备专为在电力电子设计中节省能源,同时最大化传感性能。该系列具有低温度系数 (TCR),可在广泛的温度范围内提供操作精度和卓越的长期稳定性。其极低的电阻水平、低热电磁势 (EMF) 和大功率处理能力使它们成为各项工业和消费应用以及电池管理系统 (BMS)、开关电源 (SMPS) 和马达驱动等电力电子领域的理想解决方案。

image.png

电流检测电阻产品由于其精确的测量准确度和相对较低的成本,相较其他技术更为经济实惠,在大功率应用中的使用越来越广泛。Bourns 开发该系列,旨在协助设计人员满足监测准确度,以提供有助于电路高效运行的电流读数。

Bourns 全新 CSI 系列采用电子束焊接 (EBW) 电阻和铜合金端子构造,提供两端子和四端子两款选项。两端子提供三种不同的封装尺寸:59303920  2512。四端子设备封装尺寸为 4026,可进行非常精确的四线 Kelvin (K) 电阻测量。金属合金电流检测组件支持低热电磁势 (EMF) 以及在 20 °C 60 °C 温度范围内 ±50 PPM/°C 的低温度系数 (TCR)。全新四款电流检测电阻的阻值均可达 0.2 毫欧姆,客户可以从多款低阻值选项和高达15 W 的功率等级中拣选合适的型号。

全新四款 Bourns® CSI 系列大功率、极低欧姆电流检测电阻现已上市,全系列均符合 RoHS* 标准且为无卤产品**。有关更多详细的产品信息,请参阅:www.bourns.com/zh-chs/products/resistors/current-sense-resistors

*RoHS指令 2015/863 2015 3 31 日和附件。

**Bourns 产品符合无卤要求前提 (a) 溴含量少于等于 900 ppm (b) 氯含量少于等于 900 ppm,并且 (c) 溴与氯的 含量总和少于等于 1500 ppm


]]>
//www.cazqn.com/article/202312/453826.htm Tue, 12 Dec 2023 17:49:54 +0800
<![CDATA[ 生成式人工智能如何变革未来工作方式:Orange Business对Microsoft 365 Copilot的早期洞察 ]]> 202211 OpenAI 向公众开放 ChatGPT 以来,生成式人工智能一时间成为了热点话题。从那以后,几乎每家企业都在思考:它对我们有什么好处?它会对我们的工作方式、客户体验和运营带来什么改变?可见,将生成式人工智能的力量运用到工作场景的角力已然开始,而其中的另一个关键进展是Microsoft(微软)已正式宣布将推出 Microsoft 365 Copilot供大众使用。

 

Orange 是参与 Microsoft 365 Copilot抢先体验计划的全球企业之一。Microsoft 365 Copilot将大型语言模型的强大功能与 Microsoft Graph 中的数据(如日历、电子邮件、聊天、文档、会议等)及 Microsoft 365 应用程序相结合,帮助用户更快、更好地创建内容。

 

今年,Microsoft 365 Copilot已在 TeamsExcelWordOutlook PowerPoint Microsoft 365 应用程序中上线,通过生成式人工智能帮助用户更高效地写作、设计和演示。该功能独特之处在于完全集成到了公众的日常办公工具中,并为用户提供了自由选择的权利。

 

以使用Microsoft 365 Copilot起草这篇博客的初稿为例,用户只需使用日常聊天用语向其发送几个核心信息,它便能够自动生成一篇质量杰出的初稿。在此版本上进行些许个性化的修改和调整后,一篇博客文章便高效诞生了,这可以帮助作者节省至少一个小时的宝贵时间。此外,Microsoft 365 Copilot还可以帮助用户快速且高质量地完成各种文字工作(如制作演示文稿、撰写会议纪要等),从中我们可以洞见Microsoft 365 Copilot的优势所在。

 

Microsoft 365 Copilot具备助力人们更加高效工作的巨大潜力,也期待它在未来能够产生更深远的影响!这正是Orange Business很高兴能参与 Microsoft 365 Copilot抢先体验计划的原因,同时说明了为何该功能对 Orange 来说意义重大。

 

Orange Business希望深度探究Microsoft 365 Copilot将会如何改变人们的工作方式。我们与任何一家多元化的全球企业一样,拥有着相似的经历。对于 Orange Business来说,关键目标是帮助企业客户畅通无阻地应用最佳技术,并基于自身经验令Microsoft 365 Copilot物尽其用。同时,我们也十分荣幸能够有机会向Microsoft反馈测试结果,以帮助Microsoft 365 Copilot改进优化,从而全面提升员工体验。

 

最终,这种新工具将使用户从中低附加值的工作中解脱出来,节省时间去完成那些“无可替代人类的任务”,例如发挥创造力、倾听、建立信赖、照顾客户、设想新服务、应对新情况......上述只是一些很简单的例子,因为Microsoft 365 Copilot还将继续优化自身并加速实现更多可能的任务。

 

准备测试

今年夏天,Orange Business组建了一个核心团队在全球范围内广泛筛选各类测试人员,员工们已经蓄势待发。参与者需要明确抢先体验计划的预期目标以及自己的工作范畴。由于生成式人工智能会大幅激发参与者的兴奋感,设定合适的预期便显得尤为重要。

 

Orange 的主要关注点在于数据隐私和《通用数据保护条例》(GDPR)的合规性。用户往往会产生一系列的担忧,例如:个人数据会经历什么?数据是否安全?Orange Business是否在 GDPR 或隐私方面存在风险?数据是否允许其他人访问?……针对这些顾虑,最佳的解决方案是确保数据会受到保护并存储在欧盟中。微软注意到了Orange Business的担忧,确认 Microsoft 365 Copilot受益于微软的安全和隐私合同承诺(包括 GDPR 合规性)以及微软欧盟数据边界计划,该计划旨在定义微软承诺存储和处理客户数据的欧洲边界。

 

当前进展及早期洞察

Orange Business组建了一个兼具多样性和代表性的测试人员小组,参与者可以在覆盖销售、市场营销、人力资源、IT、咨询、运营、个人助理、项目经理、法律和财务等领域的不同场景、环境和工作岗位中使用 Microsoft 365 Copilot。同时,测试小组还确保了其成员包含来自12个不同国家/地区的代表。该项目已于10月初正式启动,并在Teams上设立了一个活跃度极高的测试人员社区。每周Orange Business都会从测试人员那里收集反馈。

 

我们的主要目标是评估 Microsoft 365 Copilot对员工体验的影响,然后思考如何将经验应用于支持客户体验。测试小组希望了解Microsoft 365 Copilot能够如何帮助用户节省时间、减少错误、提高质量,并激发创造力;如何更广泛地让用户在工作中拥有更优体验,以及用户需要如何操作才能尽可能有效地利用Microsoft 365 Copilot。此外,Orange Business还在内部部署了其它人工智能工具(如基于Microsoft Azure OpenAI 服务的工具)以获取员工反馈,这样做的目的是为了更好地了解企业应在何种特定情况下使用何种特定技术。

 

Orange Business希望能清晰地认识到Microsoft 365 Copilot最强大的用例——这不仅仅是“做一个总结”或“创建一个数据透视表”那么简单我们想了解的是 Microsoft 365 Copilot究竟在什么情况下能带来最大价值——是总结商业合同、创建季度财务业绩报告还是其它?此外,Orange Business还希望了解具体哪些工作岗位能通过Microsoft 365 Copilot受益最多,这也是在招募测试人员时保证多样性的原因。事实上,这不仅与用户的多样性有关,还与数据的多样性有关:Microsoft 365 Copilot的强大功能在不同组织中可能会有不同表现形式,因为与任何人工智能一样,输入数据的质量和性质对输出结果将产生巨大的影响。

 

下一阶段

Orange Business正在边做边学,因为不管是生成式人工智能技术,还是人们对它的看法和应用,一切显然都是新鲜事物。然而已经明晰的是,数据管理、变更管理和治理对于所有生成式人工智能解决方案的落地都是不可或缺的工作。我们期待看到结果,并希望能利用其中见解和收获,在企业内部和与客户的合作中释放积极的生产力!

 

博客作者简介

image.png

Marie-Hélène Briens Ware(玛丽·海姆斯·布里恩斯·韦尔)

Marie-Hélène 现任 Orange Business Workplace Together 副总裁,负责组织和发展更广泛的解决方案组合,以转变Orange Business客户的数字工作空间。随着人们的工作方式、工作地点和工作目的正在发生深刻转变,对理想数字化解决方案的需求也与日俱增。基于在 Orange 20 年的工作经验,近年来Marie-Hélène一直从事B2B 领域的相关工作。她曾担任多年售前经理和法国企业市场的销售经理,负责Orange旗下业务的B2B战略和运营,还曾领导Orange Business的客户体验工作。目前,Marie-Hélène定居伦敦,闲暇时间喜欢观鸟、看老电影和打壁球,同时她也喜欢和小女儿分享生活。

]]>
202211 OpenAI 向公众开放 ChatGPT 以来,生成式人工智能一时间成为了热点话题。从那以后,几乎每家企业都在思考:它对我们有什么好处?它会对我们的工作方式、客户体验和运营带来什么改变?可见,将生成式人工智能的力量运用到工作场景的角力已然开始,而其中的另一个关键进展是Microsoft(微软)已正式宣布将推出 Microsoft 365 Copilot供大众使用。

 

Orange 是参与 Microsoft 365 Copilot抢先体验计划的全球企业之一。Microsoft 365 Copilot将大型语言模型的强大功能与 Microsoft Graph 中的数据(如日历、电子邮件、聊天、文档、会议等)及 Microsoft 365 应用程序相结合,帮助用户更快、更好地创建内容。

 

今年,Microsoft 365 Copilot已在 TeamsExcelWordOutlook PowerPoint Microsoft 365 应用程序中上线,通过生成式人工智能帮助用户更高效地写作、设计和演示。该功能独特之处在于完全集成到了公众的日常办公工具中,并为用户提供了自由选择的权利。

 

以使用Microsoft 365 Copilot起草这篇博客的初稿为例,用户只需使用日常聊天用语向其发送几个核心信息,它便能够自动生成一篇质量杰出的初稿。在此版本上进行些许个性化的修改和调整后,一篇博客文章便高效诞生了,这可以帮助作者节省至少一个小时的宝贵时间。此外,Microsoft 365 Copilot还可以帮助用户快速且高质量地完成各种文字工作(如制作演示文稿、撰写会议纪要等),从中我们可以洞见Microsoft 365 Copilot的优势所在。

 

Microsoft 365 Copilot具备助力人们更加高效工作的巨大潜力,也期待它在未来能够产生更深远的影响!这正是Orange Business很高兴能参与 Microsoft 365 Copilot抢先体验计划的原因,同时说明了为何该功能对 Orange 来说意义重大。

 

Orange Business希望深度探究Microsoft 365 Copilot将会如何改变人们的工作方式。我们与任何一家多元化的全球企业一样,拥有着相似的经历。对于 Orange Business来说,关键目标是帮助企业客户畅通无阻地应用最佳技术,并基于自身经验令Microsoft 365 Copilot物尽其用。同时,我们也十分荣幸能够有机会向Microsoft反馈测试结果,以帮助Microsoft 365 Copilot改进优化,从而全面提升员工体验。

 

最终,这种新工具将使用户从中低附加值的工作中解脱出来,节省时间去完成那些“无可替代人类的任务”,例如发挥创造力、倾听、建立信赖、照顾客户、设想新服务、应对新情况......上述只是一些很简单的例子,因为Microsoft 365 Copilot还将继续优化自身并加速实现更多可能的任务。

 

准备测试

今年夏天,Orange Business组建了一个核心团队在全球范围内广泛筛选各类测试人员,员工们已经蓄势待发。参与者需要明确抢先体验计划的预期目标以及自己的工作范畴。由于生成式人工智能会大幅激发参与者的兴奋感,设定合适的预期便显得尤为重要。

 

Orange 的主要关注点在于数据隐私和《通用数据保护条例》(GDPR)的合规性。用户往往会产生一系列的担忧,例如:个人数据会经历什么?数据是否安全?Orange Business是否在 GDPR 或隐私方面存在风险?数据是否允许其他人访问?……针对这些顾虑,最佳的解决方案是确保数据会受到保护并存储在欧盟中。微软注意到了Orange Business的担忧,确认 Microsoft 365 Copilot受益于微软的安全和隐私合同承诺(包括 GDPR 合规性)以及微软欧盟数据边界计划,该计划旨在定义微软承诺存储和处理客户数据的欧洲边界。

 

当前进展及早期洞察

Orange Business组建了一个兼具多样性和代表性的测试人员小组,参与者可以在覆盖销售、市场营销、人力资源、IT、咨询、运营、个人助理、项目经理、法律和财务等领域的不同场景、环境和工作岗位中使用 Microsoft 365 Copilot。同时,测试小组还确保了其成员包含来自12个不同国家/地区的代表。该项目已于10月初正式启动,并在Teams上设立了一个活跃度极高的测试人员社区。每周Orange Business都会从测试人员那里收集反馈。

 

我们的主要目标是评估 Microsoft 365 Copilot对员工体验的影响,然后思考如何将经验应用于支持客户体验。测试小组希望了解Microsoft 365 Copilot能够如何帮助用户节省时间、减少错误、提高质量,并激发创造力;如何更广泛地让用户在工作中拥有更优体验,以及用户需要如何操作才能尽可能有效地利用Microsoft 365 Copilot。此外,Orange Business还在内部部署了其它人工智能工具(如基于Microsoft Azure OpenAI 服务的工具)以获取员工反馈,这样做的目的是为了更好地了解企业应在何种特定情况下使用何种特定技术。

 

Orange Business希望能清晰地认识到Microsoft 365 Copilot最强大的用例——这不仅仅是“做一个总结”或“创建一个数据透视表”那么简单我们想了解的是 Microsoft 365 Copilot究竟在什么情况下能带来最大价值——是总结商业合同、创建季度财务业绩报告还是其它?此外,Orange Business还希望了解具体哪些工作岗位能通过Microsoft 365 Copilot受益最多,这也是在招募测试人员时保证多样性的原因。事实上,这不仅与用户的多样性有关,还与数据的多样性有关:Microsoft 365 Copilot的强大功能在不同组织中可能会有不同表现形式,因为与任何人工智能一样,输入数据的质量和性质对输出结果将产生巨大的影响。

 

下一阶段

Orange Business正在边做边学,因为不管是生成式人工智能技术,还是人们对它的看法和应用,一切显然都是新鲜事物。然而已经明晰的是,数据管理、变更管理和治理对于所有生成式人工智能解决方案的落地都是不可或缺的工作。我们期待看到结果,并希望能利用其中见解和收获,在企业内部和与客户的合作中释放积极的生产力!

 

博客作者简介

image.png

Marie-Hélène Briens Ware(玛丽·海姆斯·布里恩斯·韦尔)

Marie-Hélène 现任 Orange Business Workplace Together 副总裁,负责组织和发展更广泛的解决方案组合,以转变Orange Business客户的数字工作空间。随着人们的工作方式、工作地点和工作目的正在发生深刻转变,对理想数字化解决方案的需求也与日俱增。基于在 Orange 20 年的工作经验,近年来Marie-Hélène一直从事B2B 领域的相关工作。她曾担任多年售前经理和法国企业市场的销售经理,负责Orange旗下业务的B2B战略和运营,还曾领导Orange Business的客户体验工作。目前,Marie-Hélène定居伦敦,闲暇时间喜欢观鸟、看老电影和打壁球,同时她也喜欢和小女儿分享生活。

]]>
//www.cazqn.com/article/202312/453825.htm Tue, 12 Dec 2023 17:45:23 +0800
<![CDATA[ 解决角雷达系统的 3 大电源设计挑战 ]]> 在过去十年内,雷达传感技术开始逐步替代传统的汽车传感方式。雷达传感技术具有多项优势,例如可以进行远距离检测,具有更高的分辨率和精度。因此,雷达传感技术被广泛应用于驾驶安全功能、自动驾驶和高级驾驶辅助系统。

 

雷达技术能够直接测量对向物体的距离和径向速度,且在阴晴雨雪等各类天气状况下均不受干扰,这正好符合了新车碰撞测试 (NCAP) 的要求。随着汽车雷达市场的不断扩张,角雷达技术也得到了迅速发展。

 

角雷达安装在汽车前后四个角上,能够感应通过低带宽网络(例如控制器局域网灵活数据速率 (CAN-FD))发送的输出物体数据,以便雷达直接处理。角雷达可辅助许多应用,包括自动变道和侧向来车辅助、盲点检测、防撞、行人检测和车距预警。

 

然而,设计一款可靠的角雷达应用颇具挑战性,特别是在电源设计,因为雷达传感器通常需要特定的噪声和纹波水平、供电能力和散热来避免影响射频 (RF) 性能。

 

目前,角雷达应用中存在着三大电源设计挑战:

·       电源的尺寸。尺寸越小的电源可以提供更高的功率密度和能效,这样在设计中能够增加更多的元件,并带来额外的灵活性。由于汽车前后四角的空间有限,智能角雷达应用需要更小的电源解决方案尺寸。此外,缩小电源尺寸在保证相同功率的同时,还能降低整体系统成本。

·       雷达传感器的低纹波和噪声规格。纹波直接影响了电源的输出电压精度和噪声水平,继而影响系统整体的射频性能。虽然可以使用第二级电感器-电容器 (LC) 滤波器或者低噪声低压差稳压器 (LDO) 来抑制噪声杂散和纹波,但是使用这些元件通常会导致电源尺寸过大、温度过高,以及整体成本增加。

·       电源的温度。随着雷达电源尺寸越来越小,单位面积内产生的热量会越来越高,而高温会影响电源的完整性和使用寿命。如果雷达芯片过热,其运行速度会减慢,严重时,甚至可能导致整个系统关机。对于智能角雷达来说,这个问题更为重要。高温会影响雷达检测对向物体的距离和径向速度的能力。

 

PMIC 如何帮助解决电源设计挑战 

与分立式方案相比,采用电源管理集成电路 (PMIC) 可以通过缩小解决方案尺寸并简化电源架构来解决实现功率密度的挑战。集成了时序控制电路的 PMIC 可以帮助监控温度,并能满足车辆安全完整性等级的所有等级要求。

 

其中,一种方法是在雷达单片微波集成电路上使用 3 个低噪声降压转换器和 1 5V 升压转换器 PMIC。德州仪器 (TI) LP87745-Q1 器件是专为雷达传感器设计的小尺寸 PMIC

 

LP87745-Q1 的直流/直流开关有助于降低整体成本、抑制噪声杂散、降低纹波幅度,并实现 17.6MHz 的开关频率 (fsw)。这具有两大主要优势:

·       无需在每个电源轨上都放置第二级 LC 滤波器。由于高 fsw大于雷达技术的中频,因此无需滤波器。

·       fsw 产生的纹波幅度更低,噪声杂散更少,因此更容易控制噪声水平。

 

由于无需增设外部 LC 滤波器和 LDOLP87745-Q1 的热耗散更低,因此不会影响雷达芯片组的 RF 性能。LP87745-Q1 的温度水平可以管理电源的热耗散水平,从而保持了雷达芯片的完整性。

 

如图 1 所示,LP87745-Q1 支持为基于 CAN-FD 开发的雷达芯片组(例如 AWR2944)提供 1 5V 的电源轨。

image.png

1LP87745-Q1 为适用于角雷达应用的 AWR2944 雷达芯片供电

 

结语

为了提高雷达应用的性能以及维护司乘安全,解决电源设计挑战至关重要。TI LP87745-Q1 器件可支持 ASIL C 级功能安全系统,无需增设电压监测器,即可更轻松地满足系统级的功能安全要求。LP87745-Q1 的新功能可有助于解决角雷达的电源设计挑战,并能够用于前置雷达、舱内雷达和级联雷达的设计

  

其他资源 

·       阅读技术文章ADAS工程师需了解的新NCAP雷达要求

·       下载 LP87745-Q1 数据表。

]]>
在过去十年内,雷达传感技术开始逐步替代传统的汽车传感方式。雷达传感技术具有多项优势,例如可以进行远距离检测,具有更高的分辨率和精度。因此,雷达传感技术被广泛应用于驾驶安全功能、自动驾驶和高级驾驶辅助系统。

 

雷达技术能够直接测量对向物体的距离和径向速度,且在阴晴雨雪等各类天气状况下均不受干扰,这正好符合了新车碰撞测试 (NCAP) 的要求。随着汽车雷达市场的不断扩张,角雷达技术也得到了迅速发展。

 

角雷达安装在汽车前后四个角上,能够感应通过低带宽网络(例如控制器局域网灵活数据速率 (CAN-FD))发送的输出物体数据,以便雷达直接处理。角雷达可辅助许多应用,包括自动变道和侧向来车辅助、盲点检测、防撞、行人检测和车距预警。

 

然而,设计一款可靠的角雷达应用颇具挑战性,特别是在电源设计,因为雷达传感器通常需要特定的噪声和纹波水平、供电能力和散热来避免影响射频 (RF) 性能。

 

目前,角雷达应用中存在着三大电源设计挑战:

·       电源的尺寸。尺寸越小的电源可以提供更高的功率密度和能效,这样在设计中能够增加更多的元件,并带来额外的灵活性。由于汽车前后四角的空间有限,智能角雷达应用需要更小的电源解决方案尺寸。此外,缩小电源尺寸在保证相同功率的同时,还能降低整体系统成本。

·       雷达传感器的低纹波和噪声规格。纹波直接影响了电源的输出电压精度和噪声水平,继而影响系统整体的射频性能。虽然可以使用第二级电感器-电容器 (LC) 滤波器或者低噪声低压差稳压器 (LDO) 来抑制噪声杂散和纹波,但是使用这些元件通常会导致电源尺寸过大、温度过高,以及整体成本增加。

·       电源的温度。随着雷达电源尺寸越来越小,单位面积内产生的热量会越来越高,而高温会影响电源的完整性和使用寿命。如果雷达芯片过热,其运行速度会减慢,严重时,甚至可能导致整个系统关机。对于智能角雷达来说,这个问题更为重要。高温会影响雷达检测对向物体的距离和径向速度的能力。

 

PMIC 如何帮助解决电源设计挑战 

与分立式方案相比,采用电源管理集成电路 (PMIC) 可以通过缩小解决方案尺寸并简化电源架构来解决实现功率密度的挑战。集成了时序控制电路的 PMIC 可以帮助监控温度,并能满足车辆安全完整性等级的所有等级要求。

 

其中,一种方法是在雷达单片微波集成电路上使用 3 个低噪声降压转换器和 1 5V 升压转换器 PMIC。德州仪器 (TI) LP87745-Q1 器件是专为雷达传感器设计的小尺寸 PMIC

 

LP87745-Q1 的直流/直流开关有助于降低整体成本、抑制噪声杂散、降低纹波幅度,并实现 17.6MHz 的开关频率 (fsw)。这具有两大主要优势:

·       无需在每个电源轨上都放置第二级 LC 滤波器。由于高 fsw大于雷达技术的中频,因此无需滤波器。

·       fsw 产生的纹波幅度更低,噪声杂散更少,因此更容易控制噪声水平。

 

由于无需增设外部 LC 滤波器和 LDOLP87745-Q1 的热耗散更低,因此不会影响雷达芯片组的 RF 性能。LP87745-Q1 的温度水平可以管理电源的热耗散水平,从而保持了雷达芯片的完整性。

 

如图 1 所示,LP87745-Q1 支持为基于 CAN-FD 开发的雷达芯片组(例如 AWR2944)提供 1 5V 的电源轨。

image.png

1LP87745-Q1 为适用于角雷达应用的 AWR2944 雷达芯片供电

 

结语

为了提高雷达应用的性能以及维护司乘安全,解决电源设计挑战至关重要。TI LP87745-Q1 器件可支持 ASIL C 级功能安全系统,无需增设电压监测器,即可更轻松地满足系统级的功能安全要求。LP87745-Q1 的新功能可有助于解决角雷达的电源设计挑战,并能够用于前置雷达、舱内雷达和级联雷达的设计

  

其他资源 

·       阅读技术文章ADAS工程师需了解的新NCAP雷达要求

·       下载 LP87745-Q1 数据表。

]]>
//www.cazqn.com/article/202312/453824.htm Tue, 12 Dec 2023 17:41:12 +0800
<![CDATA[ 意法半导体推出车规AI惯性测量单元,适合环境温度高达125℃的始终感知应用 ]]> 20231212日,中国 - 意法半导体的车规ASM330LHHXG1惯性测量单元(IMU)整合传感器内部人工智能与改进的低功耗工作模式,并将最高工作温度扩展到125°C,确保传感器能够在恶劣环境中可靠地工作。

image.png

意法半导体的新车规IMU集成一个三轴加速度计和三轴陀螺仪,工作电流在两个传感器同时运行的情况下小于800µA。低功耗特性可降低系统电源预算,促进该产品在始终感知应用中的推广使用。通过利用内置的机器学习核心(MLC)和有限状态机(FSM),传感器内部人工智能可以减少主处理器的工作负荷,使事件检测和分类工作用时更短,能效更高。宽温使包含ASM330LHHXG1的智能传感器能够灵活地部署在条件恶劣的地方,包括发动机附近、阳光直射地方,或者当板上耗散功率可能将温度提高到标准工作温度以上情况。

 

通过集成MLCFSMASM330LHHXG1可以用于要求响应快速、确定且功耗小的用途,其中包括导航辅助和远程信息处理、防盗、碰撞检测和运动激活功能。

 

借助Unico-GUI AlgoBuilder软件工具以及MEMS传感器转接(STEVAL-MKI243A),意法半导体MEMS生态系统有助于加快基于ASM330LHHXG1应用评估、原型设计和产品开发。此外,工程师能够在STGitHub资源库中找到现成的应用代码示例。MLC包含倾斜、牵引和车辆状态检测等用例。 FSM有更多的启迪灵感的方案,包括运动/静止检测和抖动检测。

 

虽然IMU具有双重工作模式,让设计者可以优化数据更新率和功耗,但是,加速度计和陀螺仪的时漂和温漂稳定性都十分出色。加速度计的满量程可设为±2/±4/±8/±16g,陀螺仪的角速率可设为±125±250±500±1000±2000±4000/秒。

 

ASM330LHHXG1AEC-Q100认证产品,现已量产,目前采用超模压的14引线塑料栅格阵列(LGA)封装。

]]>
20231212日,中国 - 意法半导体的车规ASM330LHHXG1惯性测量单元(IMU)整合传感器内部人工智能与改进的低功耗工作模式,并将最高工作温度扩展到125°C,确保传感器能够在恶劣环境中可靠地工作。

image.png

意法半导体的新车规IMU集成一个三轴加速度计和三轴陀螺仪,工作电流在两个传感器同时运行的情况下小于800µA。低功耗特性可降低系统电源预算,促进该产品在始终感知应用中的推广使用。通过利用内置的机器学习核心(MLC)和有限状态机(FSM),传感器内部人工智能可以减少主处理器的工作负荷,使事件检测和分类工作用时更短,能效更高。宽温使包含ASM330LHHXG1的智能传感器能够灵活地部署在条件恶劣的地方,包括发动机附近、阳光直射地方,或者当板上耗散功率可能将温度提高到标准工作温度以上情况。

 

通过集成MLCFSMASM330LHHXG1可以用于要求响应快速、确定且功耗小的用途,其中包括导航辅助和远程信息处理、防盗、碰撞检测和运动激活功能。

 

借助Unico-GUI AlgoBuilder软件工具以及MEMS传感器转接(STEVAL-MKI243A),意法半导体MEMS生态系统有助于加快基于ASM330LHHXG1应用评估、原型设计和产品开发。此外,工程师能够在STGitHub资源库中找到现成的应用代码示例。MLC包含倾斜、牵引和车辆状态检测等用例。 FSM有更多的启迪灵感的方案,包括运动/静止检测和抖动检测。

 

虽然IMU具有双重工作模式,让设计者可以优化数据更新率和功耗,但是,加速度计和陀螺仪的时漂和温漂稳定性都十分出色。加速度计的满量程可设为±2/±4/±8/±16g,陀螺仪的角速率可设为±125±250±500±1000±2000±4000/秒。

 

ASM330LHHXG1AEC-Q100认证产品,现已量产,目前采用超模压的14引线塑料栅格阵列(LGA)封装。

]]>
//www.cazqn.com/article/202312/453823.htm Tue, 12 Dec 2023 17:37:48 +0800
<![CDATA[ 大联大世平集团推出基于易冲半导体产品的DC/DC电压调节方案 ]]> 20231212日,致力于亚太地区市场的国际领先半导体元器件分销商---大联大控股布,其旗下世平推出基于易冲半导体(ConvenientPowerCPSQ5206芯片的DC/DC电压调节方案。

 image.png

图示1-大联大世平基易冲半导体产品的DC/DC电压调节方案的展示板图

 

在当今的汽车技术中,DC/DC转换器已经成为了不可或缺的一部分,它担当着调节电压、稳定电源输出的重要角色。由大联大世平基于易冲半导体CPSQ5206芯片推出的DC/DC电压调节方案提供低于、高于或完全等于输入电压的调节电压。基于不同的输入和输出电压组合,转换器以降压升压或降压模式运行,从而实现不同操作模式之间的动态无缝切换。并且方案符合AEC-Q100规范,能够提供全面的安全保护。 

image.png

图示2-大联大世平基于易冲半导体产品的DC/DC电压调节方案的场景应用图

 

CPSQ5206是易冲半导体旗下的一款符合AEC-Q100认证的同步4开关Buck-Boost控制器,支持3.8V36V的宽输入电压范围,并提供2.0V36V可编程输出电压,分辨率为10mV。当使用5mΩ电流传感电阻时,控制器可输出高达8A的调节电流。此外,为确保变流器的安全运行,CPSQ5206提供输入/输出过压保护、输出短路保护、MOSFET逐周期限流和热关机等全面保护。 

image.png

图示3-大联大世平基于易冲半导体产品的DC/DC电压调节方案的方块图

 

PCB设计方面,本方案具有合理的布局和布线,同时充分考虑了大功率和小信号之间的隔离。通过综合低功耗元件、合理的layout、充分散热等因素,使得转换效率能够达到95%以上。

 

核心技术优势

Ÿ   符合AEC-Q100规格适用于汽车应用;

Ÿ   单电感,4开关Buck-Boost控制器,用于高效升压/降压DC/DC转换:

Ø  8V36V宽输入电压范围;

Ø  BuckBuck-BoostBoost模式间无缝切换;

Ø  可选择200KHz400KHz600KHz800KHz开关频率;

Ø  轻负载下可配置成PFMFPWM操作。

Ÿ   用于USB-PD PPS应用的可编程输出电压和电流调节:

Ø  输出范围从0V36V,步长为10mV

Ø  可选高达8A的输出调节电流,步长为50mA(带有5mΩ输出电流传感电阻器);

Ø  可选符合USB PD 3.0规范的VOUT转换速率。

Ÿ   可选外部同步时钟,以避免频率干扰和串扰;

Ÿ   可选可编程扩频用于EMI缓解;

Ÿ   集成VOUT放电功能;

Ÿ   全面的保护功能:

Ø  可编程输入过压保护;

Ø  输出过压保护;

Ø  可选间续模式用于输出短路保护;

Ø  MOSFET的逐周期电流限制;

Ø  热关机。

Ÿ   5mm x 5mm 28-pin QFN封装。

 

方案规格:

Ÿ   符合AEC-Q100规格;

Ÿ   8V36V宽输入电压范围,可编程2.0V36V输出电压;

Ÿ   400KHz开关频率;

Ÿ   采用定频结构并且频偏极小,满足严格的车规需求;

Ÿ   选用车规级元件,保证整体方案的车用安全性;

Ÿ   转换效率≥95%

]]>
20231212日,致力于亚太地区市场的国际领先半导体元器件分销商---大联大控股布,其旗下世平推出基于易冲半导体(ConvenientPowerCPSQ5206芯片的DC/DC电压调节方案。

 image.png

图示1-大联大世平基易冲半导体产品的DC/DC电压调节方案的展示板图

 

在当今的汽车技术中,DC/DC转换器已经成为了不可或缺的一部分,它担当着调节电压、稳定电源输出的重要角色。由大联大世平基于易冲半导体CPSQ5206芯片推出的DC/DC电压调节方案提供低于、高于或完全等于输入电压的调节电压。基于不同的输入和输出电压组合,转换器以降压升压或降压模式运行,从而实现不同操作模式之间的动态无缝切换。并且方案符合AEC-Q100规范,能够提供全面的安全保护。 

image.png

图示2-大联大世平基于易冲半导体产品的DC/DC电压调节方案的场景应用图

 

CPSQ5206是易冲半导体旗下的一款符合AEC-Q100认证的同步4开关Buck-Boost控制器,支持3.8V36V的宽输入电压范围,并提供2.0V36V可编程输出电压,分辨率为10mV。当使用5mΩ电流传感电阻时,控制器可输出高达8A的调节电流。此外,为确保变流器的安全运行,CPSQ5206提供输入/输出过压保护、输出短路保护、MOSFET逐周期限流和热关机等全面保护。 

image.png

图示3-大联大世平基于易冲半导体产品的DC/DC电压调节方案的方块图

 

PCB设计方面,本方案具有合理的布局和布线,同时充分考虑了大功率和小信号之间的隔离。通过综合低功耗元件、合理的layout、充分散热等因素,使得转换效率能够达到95%以上。

 

核心技术优势

Ÿ   符合AEC-Q100规格适用于汽车应用;

Ÿ   单电感,4开关Buck-Boost控制器,用于高效升压/降压DC/DC转换:

Ø  8V36V宽输入电压范围;

Ø  BuckBuck-BoostBoost模式间无缝切换;

Ø  可选择200KHz400KHz600KHz800KHz开关频率;

Ø  轻负载下可配置成PFMFPWM操作。

Ÿ   用于USB-PD PPS应用的可编程输出电压和电流调节:

Ø  输出范围从0V36V,步长为10mV

Ø  可选高达8A的输出调节电流,步长为50mA(带有5mΩ输出电流传感电阻器);

Ø  可选符合USB PD 3.0规范的VOUT转换速率。

Ÿ   可选外部同步时钟,以避免频率干扰和串扰;

Ÿ   可选可编程扩频用于EMI缓解;

Ÿ   集成VOUT放电功能;

Ÿ   全面的保护功能:

Ø  可编程输入过压保护;

Ø  输出过压保护;

Ø  可选间续模式用于输出短路保护;

Ø  MOSFET的逐周期电流限制;

Ø  热关机。

Ÿ   5mm x 5mm 28-pin QFN封装。

 

方案规格:

Ÿ   符合AEC-Q100规格;

Ÿ   8V36V宽输入电压范围,可编程2.0V36V输出电压;

Ÿ   400KHz开关频率;

Ÿ   采用定频结构并且频偏极小,满足严格的车规需求;

Ÿ   选用车规级元件,保证整体方案的车用安全性;

Ÿ   转换效率≥95%

]]>
//www.cazqn.com/article/202312/453822.htm Tue, 12 Dec 2023 17:34:33 +0800
<![CDATA[ Qorvo将在CES 2024展示面向智能家居的连接、保护与电源技术 ]]> 中国 北京,2023 12 12 ——全球领先的连接和电源解决方案供应商 Qorvo®(纳斯达克代码:QRVO)宣布将在 CES® 2024#CES2024)展示其最新的物联网(IoT)、智能家居、5GWi-Fi、超宽带(UWB)、触控传感器和电源产品。Qorvo 技术实现更快速、更便携的连接,提供更大的数据容量和卓越的可靠性,适用于消费电子、通信、宽带和汽车电动车等各类应用。Qorvo 的完整连接解决方案将在 2024 1 9 日至 12 日在美国拉斯维加斯威尼斯人会展中心举行的 CES 上展出,展台位于53509 展位。

Qorvo 将在 CES 2024 上展示以下创新产品:

l  Matter™ 物联网解决方案借助 ConcurrentConnect™ 技术打造无缝协同工作的智能家居生态系统

l  Wi-Fi 6E/7 解决方案 针对家庭网络的性能、覆盖范围、容量和安全性进行优化

l  车联网技术 充分利用 Qorvo 车规认证解决方案

l  压力传感解决方案 改变触控方式并实现智能、直观的触控界面

l  UWB 芯片与模块 面向高精度实时定位、资产跟踪等应用场景

l  电源管理解决方案 提升白色家电、电动园艺工具、消费类视频音频、可穿戴设备,以及智能家居等应用的能效

Qorvo 的技术在世界上许多先进消费电子设备中位于核心地位。”Qorvo 连接与传感器事业部总裁 Eric Creviston 表示,“未来的智能家居将越来越多地依赖这些技术来实现连接,最大限度地降低功耗,并快速、安全地提供卓越的服务质量。在 Qorvo,我们助力客户轻松且经济高效地为全球消费者释放这些领先技术的全面优势。”

]]>
中国 北京,2023 12 12 ——全球领先的连接和电源解决方案供应商 Qorvo®(纳斯达克代码:QRVO)宣布将在 CES® 2024#CES2024)展示其最新的物联网(IoT)、智能家居、5GWi-Fi、超宽带(UWB)、触控传感器和电源产品。Qorvo 技术实现更快速、更便携的连接,提供更大的数据容量和卓越的可靠性,适用于消费电子、通信、宽带和汽车电动车等各类应用。Qorvo 的完整连接解决方案将在 2024 1 9 日至 12 日在美国拉斯维加斯威尼斯人会展中心举行的 CES 上展出,展台位于53509 展位。

Qorvo 将在 CES 2024 上展示以下创新产品:

l  Matter™ 物联网解决方案借助 ConcurrentConnect™ 技术打造无缝协同工作的智能家居生态系统

l  Wi-Fi 6E/7 解决方案 针对家庭网络的性能、覆盖范围、容量和安全性进行优化

l  车联网技术 充分利用 Qorvo 车规认证解决方案

l  压力传感解决方案 改变触控方式并实现智能、直观的触控界面

l  UWB 芯片与模块 面向高精度实时定位、资产跟踪等应用场景

l  电源管理解决方案 提升白色家电、电动园艺工具、消费类视频音频、可穿戴设备,以及智能家居等应用的能效

Qorvo 的技术在世界上许多先进消费电子设备中位于核心地位。”Qorvo 连接与传感器事业部总裁 Eric Creviston 表示,“未来的智能家居将越来越多地依赖这些技术来实现连接,最大限度地降低功耗,并快速、安全地提供卓越的服务质量。在 Qorvo,我们助力客户轻松且经济高效地为全球消费者释放这些领先技术的全面优势。”

]]>
//www.cazqn.com/article/202312/453821.htm Tue, 12 Dec 2023 17:31:45 +0800
<![CDATA[ 瑞萨推出面向图形显示应用和语音/视觉多模态AI应用的全新RA8 MCU产品群 ]]> 2023 年 12 月 12 日,中国北京讯 - 全球半导体解决方案供应商瑞萨电子(TSE:6723)今日宣布推出RA8D1微控制器(MCU)产品群。RA8D1产品群作为瑞萨RA8系列的第二款产品,RA8是基于Arm® Cortex®-M85处理器的首款MCU。RA8D1 MCU具有超过6.39 CoreMark/MHz(注)的突破性性能,结合充足的内存和经过优化图形与外设功能,可满足楼宇自动化、家用电器、智能家居、消费及医疗等广泛应用的各类图形显示和语音/视觉多模态AI要求。

image.png

所有RA8系列MCU均利用Arm Cortex-M85处理器和Arm的Helium技术所带来的高性能,结合矢量/SIMD指令集扩展,能够在数字信号处理器(DSP)和机器学习(ML)的实施方面获得相比Cortex-M7内核高4倍的性能提升。这一性能提升非常适合图形和神经网络处理,可以在某些应用中消除对单独硬件加速器的需求。它们还实现先进的安全性,包括Arm TrustZone®技术、瑞萨安全IP(RSIP-E51A)、在不可变存储中带有第一级引导加载程序的安全启动功能、带有即时解密(DOTF)的八线SPI接口,以及指针验证和分支目标识别(PACBTI)安全扩展。

 

针对图形显示解决方案和视觉/语音AI优化的功能集

全新RA8D1产品包括一个高分辨率图形LCD控制器,带有连接LCD显示面板的并行RGB和MIPI-DSI 接口、一个2D图形绘制引擎、一个16位摄像头接口(CEU)、多个用于存储帧缓冲和图形资源的外部存储器接口,以及176和224引脚封装。该功能集与SEGGER emWin和微软GUIX的专业品质图形用户界面软件解决方案相结合,完全集成至瑞萨灵活配置软件包(FSP)中。瑞萨还支持开源的轻量级多功能图形库(LVGL),以及强大的图形和AI生态系统合作伙伴网络。具有LCD面板和相机模块的全功能图形评估套件完善了该解决方案,并为工业HMI、视频门铃、病人监护仪、图形计算器、安全面板、打印机显示面板和家电显示器等图形应用搭建了强大的开发平台。

 

Daryl Khoo, Vice President of the IoT Platform Division at Renesas表示:“为改善用户体验,市场对高品质显示的需求日渐提升。RA8D1 MCU的推出,展示了瑞萨作为微控制器领域全球卓越供应商的设计能力与市场洞察。全新发布的产品利用Cortex-M85内核和Helium技术前所未有的性能优势,满足客户对更佳显示和飞速发展的视觉AI实现(如人员和物体检测、人脸识别、图像分类及姿态估计)日益增长的需求。”

 

Roeland Nusselder, CEO of Plumerai表示:“Plumerai面向开发智能家居摄像头和物联网设备的客户授权高精度AI解决方案。我们已将Plumerai People Detection AI软件移植到全新RA8D1 MCU上。这一MCU包含功能强大的Arm Cortex-M85 CPU和Helium矢量扩展;与使用Arm CMSIS-NN内核的Arm Cortex-M7相比,RA8D1将我们的软件速度提高了6.5倍。家庭安防、智能楼宇、家用电器和零售业对我们的AI解决方案有很大需求,借助瑞萨的RA8 MCU,我们现在可以充分满足这一需求。”

 

RA8D1系列MCU的关键特性

Ÿ   内核:480 MHz Arm Cortex-M85,包含Helium和TrustZone技术

Ÿ   存储:集成2MB/1MB闪存和1MB SRAM(包括TCM,512KB ECC保护)

Ÿ   图形外设:图形LCD控制器支持高达WXGA的分辨率(1280x800),并行RGB和MIPI-DSI接口连接外部LCD和/或TFT显示器,强大的2D绘图引擎,16位CEU摄像头接口,32位外部SDRAM接口

Ÿ   其它外设:以太网、带XIP和DOTF的XSPI(八线SPI)、SPI、I2C/I3C、SDHI、USBFS/HS、CAN-FD、SSI、12位ADC和DAC、比较器、温度传感器、定时器

Ÿ   高阶安全性:领先加密算法、TrustZone、安全启动、不可变存储、带DPA/SPA攻击保护的防篡改功能、安全调试、安全工厂编程和生命周期管理支持

Ÿ   封装:176引脚LQFP、224引脚BGA

 

新型RA8D1产品群MCU由瑞萨灵活配置软件包(FSP)提供支持。FSP带来所需的所有基础架构软件,包括多个RTOS、BSP、外设驱动程序、中间件、连接、网络和安全堆栈,以及用于构建复杂AI、电机控制和云解决方案的参考软件,从而加快应用开发速度。它允许客户将自己的既有代码和所选的RTOS与FSP集成,为应用开发打造充分的灵活性;借助FSP,可轻松将现有设计迁移至新的RA8系列产品。

 

成功产品组合

瑞萨将全新RA8D1产品群MCU与其产品组合中的众多兼容器件相结合,创建了广泛的“成功产品组合”,包括越野GPS导航系统高效7KW+智能热泵。这些“成功产品组合”基于相互兼容且可无缝协作的产品,具备经技术验证的系统架构,带来优化的低风险设计,以加快产品上市速度。瑞萨现已基于其产品阵容中的各类产品,推出超过400款“成功产品组合”,使客户能够加速设计过程,更快地将产品推向市场。

 

供货信息

RA8D1产品群MCU和FSP软件现已上市。瑞萨还推出RA8D1产品群评估套件,其中包括针对图形应用的示例项目。多个Renesas Ready合作伙伴也为RA8D1 MCU带来量产级解决方案。瑞萨期待更多合作伙伴移植其软件解决方案,以充分利用Cortex-M85内核和Helium技术。更多产品相关信息,请访问:renesas.com/RA8D1。样品和套件可在瑞萨网站或通过分销商订购。

 

瑞萨MCU优势

作为全球卓越的MCU产品供应商,瑞萨电子的MCU近年来的平均年出货量超35亿颗,其中约50%用于汽车领域,其余则用于工业、物联网以及数据中心和通信基础设施等领域。瑞萨电子拥有广泛的8位、16位和32位产品组合,是业界优秀的16位及32位MCU供应商,所提供的产品具有出色的质量和效率,且性能卓越。同时,作为一家值得信赖的供应商,瑞萨电子拥有数十年的MCU设计经验,并以双源生产模式、业界先进的MCU工艺技术,以及由200多家生态系统合作伙伴组成的庞大体系为后盾。关于瑞萨电子MCU的更多信息,请访问:renesas.com/MCUs

image.png 

(注)EEMBCCoreMark®基准,用于测量嵌入式系统中使用的MCUCPU性能。

]]>
2023 年 12 月 12 日,中国北京讯 - 全球半导体解决方案供应商瑞萨电子(TSE:6723)今日宣布推出RA8D1微控制器(MCU)产品群。RA8D1产品群作为瑞萨RA8系列的第二款产品,RA8是基于Arm® Cortex®-M85处理器的首款MCU。RA8D1 MCU具有超过6.39 CoreMark/MHz(注)的突破性性能,结合充足的内存和经过优化图形与外设功能,可满足楼宇自动化、家用电器、智能家居、消费及医疗等广泛应用的各类图形显示和语音/视觉多模态AI要求。

image.png

所有RA8系列MCU均利用Arm Cortex-M85处理器和Arm的Helium技术所带来的高性能,结合矢量/SIMD指令集扩展,能够在数字信号处理器(DSP)和机器学习(ML)的实施方面获得相比Cortex-M7内核高4倍的性能提升。这一性能提升非常适合图形和神经网络处理,可以在某些应用中消除对单独硬件加速器的需求。它们还实现先进的安全性,包括Arm TrustZone®技术、瑞萨安全IP(RSIP-E51A)、在不可变存储中带有第一级引导加载程序的安全启动功能、带有即时解密(DOTF)的八线SPI接口,以及指针验证和分支目标识别(PACBTI)安全扩展。

 

针对图形显示解决方案和视觉/语音AI优化的功能集

全新RA8D1产品包括一个高分辨率图形LCD控制器,带有连接LCD显示面板的并行RGB和MIPI-DSI 接口、一个2D图形绘制引擎、一个16位摄像头接口(CEU)、多个用于存储帧缓冲和图形资源的外部存储器接口,以及176和224引脚封装。该功能集与SEGGER emWin和微软GUIX的专业品质图形用户界面软件解决方案相结合,完全集成至瑞萨灵活配置软件包(FSP)中。瑞萨还支持开源的轻量级多功能图形库(LVGL),以及强大的图形和AI生态系统合作伙伴网络。具有LCD面板和相机模块的全功能图形评估套件完善了该解决方案,并为工业HMI、视频门铃、病人监护仪、图形计算器、安全面板、打印机显示面板和家电显示器等图形应用搭建了强大的开发平台。

 

Daryl Khoo, Vice President of the IoT Platform Division at Renesas表示:“为改善用户体验,市场对高品质显示的需求日渐提升。RA8D1 MCU的推出,展示了瑞萨作为微控制器领域全球卓越供应商的设计能力与市场洞察。全新发布的产品利用Cortex-M85内核和Helium技术前所未有的性能优势,满足客户对更佳显示和飞速发展的视觉AI实现(如人员和物体检测、人脸识别、图像分类及姿态估计)日益增长的需求。”

 

Roeland Nusselder, CEO of Plumerai表示:“Plumerai面向开发智能家居摄像头和物联网设备的客户授权高精度AI解决方案。我们已将Plumerai People Detection AI软件移植到全新RA8D1 MCU上。这一MCU包含功能强大的Arm Cortex-M85 CPU和Helium矢量扩展;与使用Arm CMSIS-NN内核的Arm Cortex-M7相比,RA8D1将我们的软件速度提高了6.5倍。家庭安防、智能楼宇、家用电器和零售业对我们的AI解决方案有很大需求,借助瑞萨的RA8 MCU,我们现在可以充分满足这一需求。”

 

RA8D1系列MCU的关键特性

Ÿ   内核:480 MHz Arm Cortex-M85,包含Helium和TrustZone技术

Ÿ   存储:集成2MB/1MB闪存和1MB SRAM(包括TCM,512KB ECC保护)

Ÿ   图形外设:图形LCD控制器支持高达WXGA的分辨率(1280x800),并行RGB和MIPI-DSI接口连接外部LCD和/或TFT显示器,强大的2D绘图引擎,16位CEU摄像头接口,32位外部SDRAM接口

Ÿ   其它外设:以太网、带XIP和DOTF的XSPI(八线SPI)、SPI、I2C/I3C、SDHI、USBFS/HS、CAN-FD、SSI、12位ADC和DAC、比较器、温度传感器、定时器

Ÿ   高阶安全性:领先加密算法、TrustZone、安全启动、不可变存储、带DPA/SPA攻击保护的防篡改功能、安全调试、安全工厂编程和生命周期管理支持

Ÿ   封装:176引脚LQFP、224引脚BGA

 

新型RA8D1产品群MCU由瑞萨灵活配置软件包(FSP)提供支持。FSP带来所需的所有基础架构软件,包括多个RTOS、BSP、外设驱动程序、中间件、连接、网络和安全堆栈,以及用于构建复杂AI、电机控制和云解决方案的参考软件,从而加快应用开发速度。它允许客户将自己的既有代码和所选的RTOS与FSP集成,为应用开发打造充分的灵活性;借助FSP,可轻松将现有设计迁移至新的RA8系列产品。

 

成功产品组合

瑞萨将全新RA8D1产品群MCU与其产品组合中的众多兼容器件相结合,创建了广泛的“成功产品组合”,包括越野GPS导航系统高效7KW+智能热泵。这些“成功产品组合”基于相互兼容且可无缝协作的产品,具备经技术验证的系统架构,带来优化的低风险设计,以加快产品上市速度。瑞萨现已基于其产品阵容中的各类产品,推出超过400款“成功产品组合”,使客户能够加速设计过程,更快地将产品推向市场。

 

供货信息

RA8D1产品群MCU和FSP软件现已上市。瑞萨还推出RA8D1产品群评估套件,其中包括针对图形应用的示例项目。多个Renesas Ready合作伙伴也为RA8D1 MCU带来量产级解决方案。瑞萨期待更多合作伙伴移植其软件解决方案,以充分利用Cortex-M85内核和Helium技术。更多产品相关信息,请访问:renesas.com/RA8D1。样品和套件可在瑞萨网站或通过分销商订购。

 

瑞萨MCU优势

作为全球卓越的MCU产品供应商,瑞萨电子的MCU近年来的平均年出货量超35亿颗,其中约50%用于汽车领域,其余则用于工业、物联网以及数据中心和通信基础设施等领域。瑞萨电子拥有广泛的8位、16位和32位产品组合,是业界优秀的16位及32位MCU供应商,所提供的产品具有出色的质量和效率,且性能卓越。同时,作为一家值得信赖的供应商,瑞萨电子拥有数十年的MCU设计经验,并以双源生产模式、业界先进的MCU工艺技术,以及由200多家生态系统合作伙伴组成的庞大体系为后盾。关于瑞萨电子MCU的更多信息,请访问:renesas.com/MCUs

image.png 

(注)EEMBCCoreMark®基准,用于测量嵌入式系统中使用的MCUCPU性能。

]]>
//www.cazqn.com/article/202312/453820.htm Tue, 12 Dec 2023 17:26:53 +0800
<![CDATA[ 艾迈斯欧司朗发布RGB版本的高功率OSTAR® Projection Compact LED ]]>  

·       高电流密度、极低热阻、半高设计,使照明设备制造商可在减小系统尺寸的同时提高亮度;

·       扁平LED封装便于集成,可在最靠近发光面(LES)的位置安装光学器件;

·       除了现有的白光版本,OSTAR® Projection Compact系列现已推出新型红光、纯绿光和蓝光LED,在机器视觉和舞台照明、投影和建筑照明等多种应用中可提供灵活的光学设计选择。

 

中国 上海,20231212——全球领先的光学解决方案供应商艾迈斯欧司朗瑞士证券交易所股票代码:AMS)今日宣布,推出OSTAR® Projection Compact系列半高、超高亮度LED的红光、纯绿光和蓝光版本,机器视觉系统或舞台照明设备制造商因此可创造出功能更强大、外形更纤薄的产品。艾迈斯欧司朗此前已推出采用该封装规格的白光版本,当时称为OSLON Boost

 image.png

OSTAR® Projection Compact LED应用图片(图片:艾迈斯欧司朗)

 

新型LED拥有高电流密度和极高亮度,非常适用于高功率照明产品。这些LED采用艾迈斯欧司朗新型2mm2芯片,可产生高亮度的光输出。其中,520nm波长的纯绿光版本是同类型产品中最亮的(6A时达到1000lm)。 

image.png

OSTAR® Projection Compact KB产品图片(图片:艾迈斯欧司朗)

 

OSTAR® Projection Compact LED散热性能优异、封装小巧扁平,在高功率灯具设计中可以紧密安装。热阻仅为1.4K/W,而4040封装的尺寸为4.0mm×4.0mm×0.75mmLED的半高设计使得照明设备和视觉系统制造商可减小产品尺寸,同时不影响亮度和性能。 

image.png

OSTAR® Projection Compact KR产品图片(图片:艾迈斯欧司朗)

 

与采用圆顶的一次透镜设计的竞品相比,拥有扁平封装的OSTAR® Projection Compact LED更易于与光学器件结合,可非常灵活地优化产品的光学性能,满足个性化应用的要求。所有OSTAR® Projection Compact LED尺寸相同,单板设计可在不同产品中轻松调换颜色。 

image.png

OSTAR® Projection Compact KT产品图片(图片:艾迈斯欧司朗)

 

艾迈斯欧司朗的市场经理Winfried Schwedler表示:“OSTAR® Projection Compact LED拥有出色的载流能力、低热阻、半高设计,机器视觉产品和舞台照明设备因此能够在任何色彩下产生强大的输出,给人们留下深刻印象。这些LED在投影和建筑照明设备(如洗墙灯)中也具有较高的实用性。”

 

OSTAR Projection Compact系列的主要特点包括:

 image.png

OSTAR® Projection Compact LED现已实现量产。欲获取更多技术信息或申请样品,请访问特定产品网站:KB CULPM1.14KT CULPM1.13KR CULPM1.23KW CULPM1.TG

]]>
 

·       高电流密度、极低热阻、半高设计,使照明设备制造商可在减小系统尺寸的同时提高亮度;

·       扁平LED封装便于集成,可在最靠近发光面(LES)的位置安装光学器件;

·       除了现有的白光版本,OSTAR® Projection Compact系列现已推出新型红光、纯绿光和蓝光LED,在机器视觉和舞台照明、投影和建筑照明等多种应用中可提供灵活的光学设计选择。

 

中国 上海,20231212——全球领先的光学解决方案供应商艾迈斯欧司朗瑞士证券交易所股票代码:AMS)今日宣布,推出OSTAR® Projection Compact系列半高、超高亮度LED的红光、纯绿光和蓝光版本,机器视觉系统或舞台照明设备制造商因此可创造出功能更强大、外形更纤薄的产品。艾迈斯欧司朗此前已推出采用该封装规格的白光版本,当时称为OSLON Boost

 image.png

OSTAR® Projection Compact LED应用图片(图片:艾迈斯欧司朗)

 

新型LED拥有高电流密度和极高亮度,非常适用于高功率照明产品。这些LED采用艾迈斯欧司朗新型2mm2芯片,可产生高亮度的光输出。其中,520nm波长的纯绿光版本是同类型产品中最亮的(6A时达到1000lm)。 

image.png

OSTAR® Projection Compact KB产品图片(图片:艾迈斯欧司朗)

 

OSTAR® Projection Compact LED散热性能优异、封装小巧扁平,在高功率灯具设计中可以紧密安装。热阻仅为1.4K/W,而4040封装的尺寸为4.0mm×4.0mm×0.75mmLED的半高设计使得照明设备和视觉系统制造商可减小产品尺寸,同时不影响亮度和性能。 

image.png

OSTAR® Projection Compact KR产品图片(图片:艾迈斯欧司朗)

 

与采用圆顶的一次透镜设计的竞品相比,拥有扁平封装的OSTAR® Projection Compact LED更易于与光学器件结合,可非常灵活地优化产品的光学性能,满足个性化应用的要求。所有OSTAR® Projection Compact LED尺寸相同,单板设计可在不同产品中轻松调换颜色。 

image.png

OSTAR® Projection Compact KT产品图片(图片:艾迈斯欧司朗)

 

艾迈斯欧司朗的市场经理Winfried Schwedler表示:“OSTAR® Projection Compact LED拥有出色的载流能力、低热阻、半高设计,机器视觉产品和舞台照明设备因此能够在任何色彩下产生强大的输出,给人们留下深刻印象。这些LED在投影和建筑照明设备(如洗墙灯)中也具有较高的实用性。”

 

OSTAR Projection Compact系列的主要特点包括:

 image.png

OSTAR® Projection Compact LED现已实现量产。欲获取更多技术信息或申请样品,请访问特定产品网站:KB CULPM1.14KT CULPM1.13KR CULPM1.23KW CULPM1.TG

]]>
//www.cazqn.com/article/202312/453819.htm Tue, 12 Dec 2023 17:23:11 +0800
<![CDATA[ SABIC 开发出用于评估电动汽车电池包材料安全性能的全新测试方法 ]]> 北京,20231212——全球多元化化工企业沙特基础工业公司(SABIC)设计并开发出一种采用高温火焰与颗粒撞击(喷灯和砂砾)来检测电动汽车(EV)电池包的全新方法。 

image.png

 

随着电动汽车的普及,能量存储方案变得越发重要,而确保电池的安全性至关重要。热失控虽然罕见,但仍具有潜在危险,这涉及电芯内部的持续反应,可能导致过热,在极端情况下,甚至引发火灾或爆炸。

 

为解决这一问题,业界不断探索降低热失控风险的方法,其中包括: 

l   开发更安全的化学产品和固态电解质

l   改进电池管理系统

l   防止电芯间火势蔓延

l   控制和管理热失控事件产生的高温和有毒气体,以保护生命和财产安全

 

SABIC也参与了这项工作,并开发出一种正在申请专利的先进喷灯和砂砾测试方法,可独立控制温度、热量流量和颗粒冲击,以重现电池包热失控场景中的真实条件。

 

该项全新方法有助于快速测试和开发新材料,以满足预防热失控过程中的苛刻条件。

 

综合测试包括对 SABIC 已商业化和开发中的材料以及竞争性材料进行机械冲击,方法是以极高的速度喷射二氧化硅颗粒,同时控制好火焰,以模拟电芯在热失控过程中释放的烧蚀力。

 

通过在测试过程中系统地控制喷灯和砂砾,以及不同顺序和周期的暴露持续时间,我们可以研究、分析和了解材料在这些极端恶劣和苛刻条件下的表现。从中收集到的有关故障持续时间、光学和红外图像,以及温度和位移测量数据,对于准确评估材料至关重要。此外,数据结果还有助于设计全尺寸电池包热失控测试方案。

 

喷灯和砂砾测试加速了新解决方案的开发,减少了进行全电池包失控测试的时间和成本。

 

SABIC研究员Sreekanth Pannala表示,“利用在电池物理和化学、聚合物制造、材料科学、防火科学和防火聚合物科学方面的专业知识,SABIC致力于提供创新的材料解决方案,从而提高电池包和电动汽车的安全性。”

 

“通过喷灯和砂砾测试,我们可以更好地了解材料在极端热失控条件下的表现,确保我们的产品具有最高的安全性和可靠性。作为SABIC公司 BLUEHERO™ 电气化计划承诺的组成部分,我们致力于为这一不断增长的领域提供所需的解决方案和服务。” Pannala 补充道。

 

SABIC公司的喷灯和砂砾测试技术是该公司更广泛的多学科研发工作的一部分,致力于为可持续能源转型提供材料解决方案。

 

SABIC公司致力于持续创新,旨在开发出热稳定性更好的材料,降低各行业电池热失控的风险,同时与汽车行业伙伴合作开发安全、环保的储能技术。

]]>
北京,20231212——全球多元化化工企业沙特基础工业公司(SABIC)设计并开发出一种采用高温火焰与颗粒撞击(喷灯和砂砾)来检测电动汽车(EV)电池包的全新方法。 

image.png

 

随着电动汽车的普及,能量存储方案变得越发重要,而确保电池的安全性至关重要。热失控虽然罕见,但仍具有潜在危险,这涉及电芯内部的持续反应,可能导致过热,在极端情况下,甚至引发火灾或爆炸。

 

为解决这一问题,业界不断探索降低热失控风险的方法,其中包括: 

l   开发更安全的化学产品和固态电解质

l   改进电池管理系统

l   防止电芯间火势蔓延

l   控制和管理热失控事件产生的高温和有毒气体,以保护生命和财产安全

 

SABIC也参与了这项工作,并开发出一种正在申请专利的先进喷灯和砂砾测试方法,可独立控制温度、热量流量和颗粒冲击,以重现电池包热失控场景中的真实条件。

 

该项全新方法有助于快速测试和开发新材料,以满足预防热失控过程中的苛刻条件。

 

综合测试包括对 SABIC 已商业化和开发中的材料以及竞争性材料进行机械冲击,方法是以极高的速度喷射二氧化硅颗粒,同时控制好火焰,以模拟电芯在热失控过程中释放的烧蚀力。

 

通过在测试过程中系统地控制喷灯和砂砾,以及不同顺序和周期的暴露持续时间,我们可以研究、分析和了解材料在这些极端恶劣和苛刻条件下的表现。从中收集到的有关故障持续时间、光学和红外图像,以及温度和位移测量数据,对于准确评估材料至关重要。此外,数据结果还有助于设计全尺寸电池包热失控测试方案。

 

喷灯和砂砾测试加速了新解决方案的开发,减少了进行全电池包失控测试的时间和成本。

 

SABIC研究员Sreekanth Pannala表示,“利用在电池物理和化学、聚合物制造、材料科学、防火科学和防火聚合物科学方面的专业知识,SABIC致力于提供创新的材料解决方案,从而提高电池包和电动汽车的安全性。”

 

“通过喷灯和砂砾测试,我们可以更好地了解材料在极端热失控条件下的表现,确保我们的产品具有最高的安全性和可靠性。作为SABIC公司 BLUEHERO™ 电气化计划承诺的组成部分,我们致力于为这一不断增长的领域提供所需的解决方案和服务。” Pannala 补充道。

 

SABIC公司的喷灯和砂砾测试技术是该公司更广泛的多学科研发工作的一部分,致力于为可持续能源转型提供材料解决方案。

 

SABIC公司致力于持续创新,旨在开发出热稳定性更好的材料,降低各行业电池热失控的风险,同时与汽车行业伙伴合作开发安全、环保的储能技术。

]]>
//www.cazqn.com/article/202312/453818.htm Tue, 12 Dec 2023 17:18:03 +0800
<![CDATA[ Tempus DRA 套件加速先进节点技术 ]]> 在身处技术驱动的大环境中,半导体设计需要做到更迅速,更节能以及更稳健。为了满足这一需求,半导体制造企业需要不断突破技术创新。通过对更多参数及其影响的分析,客户才能实现较现行设计方法更优秀的 PPA 目标。例如,全局额定值或全局的裕度会造成性能和功耗的显著浪费。 

image.png

 

为了应对类似挑战,Cadence 持续创新并开发了 Cadence Tempus 设计稳健性分析(DRA)套件,提供解决上述问题所需要的分析能力。该套件采用先进的建模算法,赋能工程师分析,识别并纠正对变化极为敏感的关键设计要素,包括适用于模块级的 Tempus ECO Options 和子系统/全芯片级的 Cadence Certus 收敛解决方案,两者皆可在 Innovus 设计实现系统中调用。通过充分发挥套件的高级分析特性,客户可以强化设计稳健性,优化功耗、性能和面积(PPA)目标,较传统基于裕度的方法实现最高达 10% PPA 目标提升。

 

Tempus DRA 套件

 

Tempus DRA 套件集合了卓越的分析能力,针对老化效应,电压降和阈值电压偏斜等不同类型的时序偏差,解决设计层的稳健性问题。该套件包括 5 种高级分析能力,分别适用于稳健半导体设计的特定流程。 

image.png

 

1.老化稳健性

 

Tempus DRA 套件以其卓越的老化稳健性分析能力在业界独树一帜,PPA 目标最高可提高 10%,适用于汽车、航空航天、消费者电子、移动设备和大规模计算等领域。该套件允许工程师在 Cadence Liberate Library 表征化流程工具内控制老化表征环境及参数,提供老化环境的完整分析结果,并通过统计学图表直观呈现应力和恢复状态。

 

与老化感知时序和限制结合,老化稳健性可以实现 SPICE 级精度的卓越 PPA 结果。在台积电 TMI 和其他 SPICE 可靠性模型的支持下,可以跟踪任意场景下的静态时序分析(STA)、实例老化、非统一老化及恢复模型选择,并且能调节 STA 的最优设置。得益于此,老化效应导致的非必要延迟被清除,进一步加速设计收敛。

 

2.电压稳健性

 

电压稳健性分析与 Tempus 电源完整性(PI)和 Voltus IC电源完整性解决方案无缝集成,大幅提升了现有的签核解决方案。该集成采用了新一代(IR)压降分析和修复技术。电压稳健性分析通过 Tempus ECO Option 实现修复流程的自动化,并通过优化 Victim Aggressor 信号路径解决压降问题。值得注意的是,该分析可以识别传统 IR 压降签核方法容易忽略的时序违例,防止可能导致高昂成本硅片失效的发生。最大 IR 压降设计裕度的降低也可以帮助实现更优的 PPA 目标。

 

3.时序稳健性

 

时序稳健性分析是 Tempus DRA 套件的第三项分析能力。这项强大的能力可以通过对硅片性能的统计学测量而达到时序的准确性,在符合 Sigma 可靠性要求的同时显著提高设计 PPA。其用户友好的界面可以加速设计局部更改(ECO)流程,提供相较于传统 SPICE 蒙特卡洛分析更直截了当的方法。

 

4.硅预测

 

硅预测是 Tempus DRA 套件的第四项分析能力,专注于硅片特性的持续调优,可以对硅片的设备模型、库和目标设备模型提供快速反馈,帮助设计工程师对设计进行快速调整。硅预测支持包括 PBA(物理设计、构建和分析),GBA(全局构建与分析)等设计的各个阶段,并在 Tempus 时序解决方案、Tempus ECO Option Innovus 设计实现系统中可用。

 

设计工程师可以用硅预测功能建立模型与硬件的相关性,获得理想的硅片性能,并在 Tempus 时序和 Liberate 表征化流程期间实现精准的统计学建模,在硅前静态时序分析(STA)签核时识别离散参数。该分析能力可以赋能设计团队,助其达成确凿的收敛和优化,利用硅预测预判延迟,并提高 PPA 和良率。

 

5.电压阈值偏斜稳健性

 

电压阈值(VT)偏斜稳健性是 Tempus DRA 套件的第五项分析能力,用于应对目前 STA 方法固有的时序悲观。Tempus DRA 套件帮助工程师更灵敏的分析 TT(温度和电压)corners,为每个 VT 类型执行快速降额以将延迟优化至慢 corners 和快 cornersSSG FFG)。设计师可以将库与 VT 类型捆绑,为每个 VT 类型定义慢和快降额。Tempus DRA 套件可以执行优化排列,并根据 VT 类型的启动和捕捉路径找出最差的松弛变量。 

image.png

 

Tempus DRA 套件是一套高级分析能力的完整合集,致力于增强设计层稳健性,对比传统方法实现更卓越的 PPA 提升。得益于其对老化稳健性,电压稳健性,时序稳健性,硅预测和 VT 偏斜稳健性的专注,该套件可以助力设计团队在快速迭代的技术环境下创建更高效,可靠,具有竞争力的半导体解决方案。这是实现新一代半导体设计的关键一步。

 

Tempus DRA 套件是广泛 Cadence 数字与签核工作流程的组成部分,支持 Cadence 智能系统设计战略,助理实现卓越的片上系统(SoC)设计。

]]>
在身处技术驱动的大环境中,半导体设计需要做到更迅速,更节能以及更稳健。为了满足这一需求,半导体制造企业需要不断突破技术创新。通过对更多参数及其影响的分析,客户才能实现较现行设计方法更优秀的 PPA 目标。例如,全局额定值或全局的裕度会造成性能和功耗的显著浪费。 

image.png

 

为了应对类似挑战,Cadence 持续创新并开发了 Cadence Tempus 设计稳健性分析(DRA)套件,提供解决上述问题所需要的分析能力。该套件采用先进的建模算法,赋能工程师分析,识别并纠正对变化极为敏感的关键设计要素,包括适用于模块级的 Tempus ECO Options 和子系统/全芯片级的 Cadence Certus 收敛解决方案,两者皆可在 Innovus 设计实现系统中调用。通过充分发挥套件的高级分析特性,客户可以强化设计稳健性,优化功耗、性能和面积(PPA)目标,较传统基于裕度的方法实现最高达 10% PPA 目标提升。

 

Tempus DRA 套件

 

Tempus DRA 套件集合了卓越的分析能力,针对老化效应,电压降和阈值电压偏斜等不同类型的时序偏差,解决设计层的稳健性问题。该套件包括 5 种高级分析能力,分别适用于稳健半导体设计的特定流程。 

image.png

 

1.老化稳健性

 

Tempus DRA 套件以其卓越的老化稳健性分析能力在业界独树一帜,PPA 目标最高可提高 10%,适用于汽车、航空航天、消费者电子、移动设备和大规模计算等领域。该套件允许工程师在 Cadence Liberate Library 表征化流程工具内控制老化表征环境及参数,提供老化环境的完整分析结果,并通过统计学图表直观呈现应力和恢复状态。

 

与老化感知时序和限制结合,老化稳健性可以实现 SPICE 级精度的卓越 PPA 结果。在台积电 TMI 和其他 SPICE 可靠性模型的支持下,可以跟踪任意场景下的静态时序分析(STA)、实例老化、非统一老化及恢复模型选择,并且能调节 STA 的最优设置。得益于此,老化效应导致的非必要延迟被清除,进一步加速设计收敛。

 

2.电压稳健性

 

电压稳健性分析与 Tempus 电源完整性(PI)和 Voltus IC电源完整性解决方案无缝集成,大幅提升了现有的签核解决方案。该集成采用了新一代(IR)压降分析和修复技术。电压稳健性分析通过 Tempus ECO Option 实现修复流程的自动化,并通过优化 Victim Aggressor 信号路径解决压降问题。值得注意的是,该分析可以识别传统 IR 压降签核方法容易忽略的时序违例,防止可能导致高昂成本硅片失效的发生。最大 IR 压降设计裕度的降低也可以帮助实现更优的 PPA 目标。

 

3.时序稳健性

 

时序稳健性分析是 Tempus DRA 套件的第三项分析能力。这项强大的能力可以通过对硅片性能的统计学测量而达到时序的准确性,在符合 Sigma 可靠性要求的同时显著提高设计 PPA。其用户友好的界面可以加速设计局部更改(ECO)流程,提供相较于传统 SPICE 蒙特卡洛分析更直截了当的方法。

 

4.硅预测

 

硅预测是 Tempus DRA 套件的第四项分析能力,专注于硅片特性的持续调优,可以对硅片的设备模型、库和目标设备模型提供快速反馈,帮助设计工程师对设计进行快速调整。硅预测支持包括 PBA(物理设计、构建和分析),GBA(全局构建与分析)等设计的各个阶段,并在 Tempus 时序解决方案、Tempus ECO Option Innovus 设计实现系统中可用。

 

设计工程师可以用硅预测功能建立模型与硬件的相关性,获得理想的硅片性能,并在 Tempus 时序和 Liberate 表征化流程期间实现精准的统计学建模,在硅前静态时序分析(STA)签核时识别离散参数。该分析能力可以赋能设计团队,助其达成确凿的收敛和优化,利用硅预测预判延迟,并提高 PPA 和良率。

 

5.电压阈值偏斜稳健性

 

电压阈值(VT)偏斜稳健性是 Tempus DRA 套件的第五项分析能力,用于应对目前 STA 方法固有的时序悲观。Tempus DRA 套件帮助工程师更灵敏的分析 TT(温度和电压)corners,为每个 VT 类型执行快速降额以将延迟优化至慢 corners 和快 cornersSSG FFG)。设计师可以将库与 VT 类型捆绑,为每个 VT 类型定义慢和快降额。Tempus DRA 套件可以执行优化排列,并根据 VT 类型的启动和捕捉路径找出最差的松弛变量。 

image.png

 

Tempus DRA 套件是一套高级分析能力的完整合集,致力于增强设计层稳健性,对比传统方法实现更卓越的 PPA 提升。得益于其对老化稳健性,电压稳健性,时序稳健性,硅预测和 VT 偏斜稳健性的专注,该套件可以助力设计团队在快速迭代的技术环境下创建更高效,可靠,具有竞争力的半导体解决方案。这是实现新一代半导体设计的关键一步。

 

Tempus DRA 套件是广泛 Cadence 数字与签核工作流程的组成部分,支持 Cadence 智能系统设计战略,助理实现卓越的片上系统(SoC)设计。

]]>
//www.cazqn.com/article/202312/453817.htm Tue, 12 Dec 2023 17:15:32 +0800
<![CDATA[ 尼得科仪器株式会社开发出适用于真空环境的液晶基板搬运机器人 ]]> 尼得科株式会社的集团公司尼得科仪器株式会社(旧日本电产三协)开发出了能够在真空环境下工作的液晶基板搬运机器人,该机器人具有与在大气环境下工作同等的关节自由度。 

image.png

在液晶面板和有机EL等显示器的制造工艺中,为了同时实现生产成本的削减和屏幕尺寸的大型化,不断需要更大的母玻璃基板和更快的处理速度。由于可搬运的玻璃尺寸越大搬运效率就越高,因此近年来对3m×3m大尺寸母玻璃基板的搬运需求不断增加。母玻璃基板的薄膜处理、蒸镀等工艺需要极高洁净度的真空环境,因此,搬运机器人也必须具备能承受真空环境的性能。 

尼得科仪器在用于有机EL基板蒸镀工艺的搬运机器人领域中,全球市场份额名列前茅,本产品也充分运用了该专有技术。在真空环境下工作的机器人,其各个关节都内置了密封装置,以防止空气和灰尘等从机器人的机械臂等内部逸出,这往往是导致可动关节自由度降低的原因。为此尼得科仪器产品采用了与减速机一体化的磁性密封件,可以将密封件的使用数量控制在最小范围,确保了与大气环境同等的自由度。为了满足客户的需求,产品线包括回旋镖式和SCARA式两种臂型,两种臂型采用的是可以通用的单元,有效地控制了成本。 

尼得科仪器作为全球颇具实力的综合电机制造商的一员,今后将继续为打造舒适的社会提供有益的解决方案。

]]>
尼得科株式会社的集团公司尼得科仪器株式会社(旧日本电产三协)开发出了能够在真空环境下工作的液晶基板搬运机器人,该机器人具有与在大气环境下工作同等的关节自由度。 

image.png

在液晶面板和有机EL等显示器的制造工艺中,为了同时实现生产成本的削减和屏幕尺寸的大型化,不断需要更大的母玻璃基板和更快的处理速度。由于可搬运的玻璃尺寸越大搬运效率就越高,因此近年来对3m×3m大尺寸母玻璃基板的搬运需求不断增加。母玻璃基板的薄膜处理、蒸镀等工艺需要极高洁净度的真空环境,因此,搬运机器人也必须具备能承受真空环境的性能。 

尼得科仪器在用于有机EL基板蒸镀工艺的搬运机器人领域中,全球市场份额名列前茅,本产品也充分运用了该专有技术。在真空环境下工作的机器人,其各个关节都内置了密封装置,以防止空气和灰尘等从机器人的机械臂等内部逸出,这往往是导致可动关节自由度降低的原因。为此尼得科仪器产品采用了与减速机一体化的磁性密封件,可以将密封件的使用数量控制在最小范围,确保了与大气环境同等的自由度。为了满足客户的需求,产品线包括回旋镖式和SCARA式两种臂型,两种臂型采用的是可以通用的单元,有效地控制了成本。 

尼得科仪器作为全球颇具实力的综合电机制造商的一员,今后将继续为打造舒适的社会提供有益的解决方案。

]]>
//www.cazqn.com/article/202312/453816.htm Tue, 12 Dec 2023 17:10:50 +0800